Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Osamu Nagarekawa is active.

Publication


Featured researches published by Osamu Nagarekawa.


Photomask and next-generation lithography mask technology. Conference | 2002

Process development of 6-in EUV mask with TaBN absorber

Tsutomu Shoki; Morio Hosoya; Takeru Kinoshita; Hideo Kobayashi; Youichi Usui; Ryo Ohkubo; Shinichi Ishibashi; Osamu Nagarekawa

6-inch EUV masks consisting of Mo/Si multilayers and patterned CrX buffer and TaBN absorber layers have recently been developed and evaluated. Mo/Si multilayers with a relatively high EUV reflectivity of 66 percent and an excellent uniformity were obtained on the polished ULE substrates by an ion beam sputtering method. The multilayers showed high durability to the acid abased cleaning and baking at 150 degrees C used in the conventional mask-making process. The Cr based film was optimized as a repair buffer to obtain a high reflectivity of 52 percent at 257 nm and low stress within 100 MPa. TaBN absorbers with a low reflectivity were obtained by optimizing the film compositions, which resulted in a high image contrast to the multilayer for DUV inspection. An EUV contrast level of 99 percent was achieved for a thinner, 100-nm thick absorber stack. Using the optimized mask process, EUV mask with patterns of 180-nm width were successfully obtained, without a significant drop in EUV reflectivity.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

The development of full field high resolution imprint templates

Shusuke Yoshitake; Hitoshi Sunaoshi; Kenichi Yasui; Hideo Kobayashi; Takashi Sato; Osamu Nagarekawa; Ecron Thompson; Gerard M. Schmid; Douglas J. Resnick

Critical to the success of imprint lithography and Step and Flash Imprint Lithography (S-FIL®) in particular is the manufacturing 1X templates. Several commercial mask shops now accept orders for 1X templates. Recently, there have been several publications addressing the fabrication of templates with 32nm and sub 32nm half pitch dimensions using high resolution Gaussian beam pattern generators. Currently, these systems are very useful for unit process development and device prototyping. In this paper, we address the progress made towards full field templates suitable for the fabrication of CMOS circuits. The starting photoplate consisted of a Cr hard mask (≤ 15nm) followed by a thin imaging layer of ZEP 520A. The EBM-5000 and the EBM-6000 variable shape beam pattern generators from NuFlare Technology were used to pattern the images on the substrates. Several key specifications of the EBM-6000, resulting in improved performance over the EBM-5000 include higher current density (70 A/cm2), astigmatism correction in the subfields, optimized variable stage speed control, and improved data handling to increase the maximum shot count limitation. To fabricate the template, the patterned resist serves as an etch mask for the thin Cr film. The Cr, in turn, is used as an etch block for the fused silica. A mesa is formed by etching the non-active areas using a wet buffered oxide etch (BOE) solution. The final step in the template process is a dice and polish step used to separate the plate into four distinct templates. Key steps in the fabrication process include the imaging and pattern processes. ZEP520A was chosen as the e-beam resist for its ability to resolve high resolution images. This paper documents the resolution and image placement capability with the processes described above. Although ZEP520A is slow relative to chemically amplified e-beam resists, it is only necessary to pattern 1/16th the area relative to a 4X reduction mask. Write time calculations for 1X templates have also been performed, and are compared to 4X photomasks.


Journal of Vacuum Science & Technology B | 2003

Fabrication of a continuous diamondlike carbon membrane mask for electron projection lithography

Isao Amemiya; Hiroshi Yamashita; Sakae Nakatsuka; Mitsuharu Tsukahara; Osamu Nagarekawa

Fabrication of 8 in. high-performance continuous diamondlike carbon (DLC) membrane masks for electron projection lithography is described. The mask substrate materials and structures were optimized by evaluating the lithographic performance of the mask. The optimum mask consists of a sandwich structure, consisting of a thicker DLC scatter/a CrNx etching stopper/and a thin DLC support membrane on a bulk silicon wafer. The internal stress of each film component can be controlled by adjusting the film deposition conditions. A DLC film can be easily etched by oxygen gas, and the CrNx etching stopper has a high etching durability. Highly accurate pattern properties can be obtained while also meeting performance requirements. The critical dimension accuracy of a DLC scatterer was less than ±5% with a 280-nm-feature size in a 135×43 mm field. The electron aperture transmittance of a 44-nm-thick DLC membrane, measured by energy and angular distribution analysis for membrane, was 13 times as high as the 150-nm-thi...


Journal of Vacuum Science & Technology B | 2002

Fabrication of complete 8 in. stencil mask for electron projection lithography

Isao Amemiya; Hiroshi Yamashita; Sakae Nakatsuka; Ikuru Kimura; Mitsuharu Tsukahara; Satoshi Yasumatsu; Osamu Nagarekawa

We fabricated an 8 in. stencil mask having the complementary pattern of the 70 nm rule system-on-chip device. The 8 in. stencil mask was realized from the development of a mask substrate fabricated by using the sputtering method to form a scattering silicon membrane and an intermediate stopper layer. The intermediate layer material, which functions as an etching stopper, was CrNx. This material has demonstrated high performance in stencil mask fabrication, which is described in detail. The stress in the CrNx could be controlled within ±20 MPa by adjusting the deposition condition. The deposited silicon membrane stress could be easily adjusted in the range of 0–10 MPa. The etching selectivity, when the substrate backside etching was performed, was over 1000 under the low bias power. When the deep etching process was performed using SF6 and CHF3 etching gases for the mask pattern formation, the Si/CrNx etching selectivity was over 100 under the low bias power condition. The mask substrate, which is made up ...


23rd Annual BACUS Symposium on Photomask Technology | 2003

Fabrication of programmed phase defects on EUV multilayer blanks

Takeru Kinoshita; Tsutomu Shoki; Hideo Kobayashi; Ryo Ohkubo; Youichi Usui; Morio Hosoya; Noriyuki Sakaya; Osamu Nagarekawa

Programmed phase defects, at desirably specified sizes and known locations, for EUV multilayer blanks were successfully fabricated by the following newly developed simple technique; depositing Cr film on a 6025 glass substrate or a Si wafer, generating Cr patterns of isolated lines and/or dots by EB lithography, and depositing Mo/Si multilayer of 40-bilayers by ion beam sputtering over the Cr patterns. Thereby, programmed bump defects were created on the multilayer surface over the Cr pattern at the bottom. The programmed defects were observed by TEM and AFM, of which images revealed behavior of the multilayer growth on the Cr patterns. The observed images show that height and full width at half maximum (FWHM) of the bump on the multilayer surface strongly depended on the Cr pattern in height and width, and also incident angle of the sputtered molecular flux to the substrate surface. The multilayer coating at near-normal (vertical) incidence provides a significant amount of smoothing near the Cr patterns. A bump phase defect of 2-nm height and 60-nm FWHM, as the result, was obtained on the multilayer surface using a 5-nm thick Cr pattern, which corresponded to a minimum killer defect for EUV lithography at 45-nm node. The multilayer blanks with the programmed phase defects can be effectively used as a standard for defect inspection tool development and defect printability study. This paper describes a simple fabrication process of the programmed phase defects on EUV multilayer blanks, evaluation results on the programmed phase defects, and growth behaviors of multilayer on various patterns (seed of the defects).


MRS Proceedings | 1993

Properties Of SiC Film As X-Ray Mask Membrane

Yoh-ichi Yamaguchi; Norimichi Annaka; Tsutomu Shoki; Isao Amemiya; Hiroyuki Nagasawa; Hiroyuki Kosuga; Osamu Nagarekawa

Many properties of LPCVD SiC film as X-ray mask membrane have been investigated in detail. The film has an atomic ratio of 1.0 and negligible impurities, and was found to be damage-free to SR X-rays up to 500 KJ/cm 2 . An integrated transparency of 1.05 μm thick SiC membrane for SR X-rays was measured to be 76%. The interference peak at 633 nm of optical spectrum has given the membrane of around 1.0 μm in thickness the transmittance peak of 70% and increased to more than 80% after an AR coating or planarizations by polishing and etching-back. The attainable transmittance was found to be limited to about 84%, theoretically and experimentally, due to the absorption of the membrane. The peak transmittance of 87% is obtainable by the AR coating on the polished SiC membrane. The internal stress was found to be independent of thicknesses above 0.6 μm and the measured Youngs modulus is 4.5×10 11 Pa irrespective of the thickness and stress. Some extremely polished (0.1 nm Ra) and all the etched-back membranes studied withstood breakage at the pressure as high as the as-deposited ones. The stress uniformity in 30 mm square of the membrane was found to be ± 10 % by measuring five local stresses with a bulge method.


Journal of Vacuum Science & Technology B | 2009

Evaluation of extreme-ultraviolet lithography mask absorber pattern on multilayer phase defect using extreme-ultraviolet microscope

Kazuhiro Hamamoto; Noriyuki Sakaya; Morio Hosoya; M. Kureishi; Ryo Ohkubo; Tsutomu Shoki; Osamu Nagarekawa; J. Kishimoto; Takeo Watanabe; Hiroo Kinoshita

This article concerns the observation of phase defects in an extreme-ultraviolet lithography (EUVL) mask with an extreme-ultraviolet (EUV) microscope developed by the University of Hyogo. The influence of phase defects in a multilayer blank with an absorber pattern on critical dimension was examined. The test mask had line-shaped, programed phase defects at various places relative to the absorber lines. Since the defects were as high as 12 nm, the absorber pattern had a considerable influence on them. In places where a line defect crossed the absorber pattern, the change in critical dimension was proportional to the size of the defect. The experimental results agree well with exposure results obtained using the same mask. They demonstrate that an EUV microscope is a promising tool for evaluating finished EUVL masks and multilayer mask blanks and can eliminate the need for replication tests with an exposure tool.


Japanese Journal of Applied Physics | 2008

Evaluating the Optical Index of Ta and Ta-Based Absorbers for an Extreme Ultraviolet Mask Using Extreme Ultraviolet Reflectometry

Morio Hosoya; Noriyuki Sakaya; Osamu Nozawa; Yuki Shiota; K. Hamamoto; Osamu Nagarekawa; Shoji Shimojima; Tsutomu Shoki; Takeo Watanabe; Hiroo Kinoshita

We developed an accurate method for determining the optical index of Ta and Ta-based absorber layers with added nitrogen, oxygen, and boron for an extreme ultraviolet (EUV) mask using EUV reflectometry. The optical index at EUV wavelengths was derived from the density and atomic concentration of the composite materials. The atomic concentrations of Ta and Ta-based absorbers were determined using X-ray photoelectron spectroscopy (XPS) and Rutherford backscattering spectrometry (RBS) analysis methods when no inconsistency occurred between the results of the XPS and RBS analyses. The volume densities of the Ta and Ta-based absorbers were determined using RBS and EUV reflectivity measurements with the grazing angle (EUVRG) or reflectivity (EUVR) when no inconsistency was observed between results. Deriving the volume density was necessary to establish the layer structure and layer thickness, and the surface oxidation layer was especially important for determining the correct volume density. The layer structure and thickness were derived using a pattern-fitting method for the XRR spectrum. The extinction coefficients of Ta and Ta-based absorbers stacked using conventional sputtering were lower than the extinction coefficient of an ideal Ta crystal.


Photomask and next-generation lithography mask technology. Conference | 2003

Study on exposure contrast of an EUV mask

Morio Hosoya; Tsutomu Shoki; Takeru Kinoshita; Noriyuki Sakaya; Osamu Nagarekawa

The exposure contrast (at wavelength contrast) on an extreme ultraviolet (EUV) mask has been evaluated by an experimental reflectivity measurement and an optical simulation. The experimental contrast showed good agreement with the calculated one for an EUV mask blank with TaBN absorber. The exposure contrast could be precisely estimated for an EUV mask using the simulation. Further, this simulation was used to evaluate the impact of absorber materials (TaBN, Cr and CrN) and 50-nm-thick buffer layers (CrX, SiO2, Ru and C) used to achieve thinner absorber stack. A mask composed of the TaBN absorber and the Cr-based buffer layer showed was the thinnest to achieve thinner absorber stack. A mask composed of the TaBN absorber and the Cr-based buffer layer showed was the thinnest to achieve a contrast of 100, at 81.3-nm. The TaBN absorber and the Cr-based buffer layer were found to be more suitable materials for obtaining lower aspect ratio.


Journal of Vacuum Science & Technology B | 2003

Damage-free extreme ultraviolet mask with TaBN absorber

Tsutomu Shoki; Takeru Kinoshita; Noriyuki Sakaya; Morio Hosoya; Ryo Ohkubo; Yoh-ich Usui; Hideo Kobayashi; Osamu Nagarekawa

This article presents the results of evaluation of process-induced damage and improved reflectivity of an extreme ultraviolet (EUV) mask fabricated using a blank consisting of a multilayer, a Si capping layer, a CrN buffer layer, and a TaBN absorber. Long-term storage causes a centroid wavelength shift and stress change in the multilayer. The multilayer blank annealed at 90 °C was quite stable in centroid wavelength and film stress against resist baking at 135 °C and air storage. After the CrN buffer layer was etched with a mixture of Cl2 and O2 gases, the mask featured reflectivity loss of 1.5% due to the additional oxide layer generated on the Si capping layer. The reflectivity loss was able to be completely restored to its original value by treatment with a diluted HF solution. An EUV mask with a high reflectivity of 65% and excellent reflectivity uniformity of 0.7% 3σ was demonstrated using a blank consisting of a 40-period multilayer and a Si capping layer through a newly developed damage-free proces...

Collaboration


Dive into the Osamu Nagarekawa's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge