Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Otto Torreiter is active.

Publication


Featured researches published by Otto Torreiter.


international solid-state circuits conference | 2007

Implementation of the CELL Broadband Engine in a 65nm SOI Technology Featuring Dual-Supply SRAM Arrays Supporting 6GHz at 1.3V

Jürgen Pille; Chad Adams; T. Christensen; Scott R. Cottier; Sebastian Ehrenreich; T. Kono; D. Nelson; Osamu Takahashi; Shunsako Tokito; Otto Torreiter; Otto Wagner; Dieter Wendel

The 65nm CELL Broadband Enginetrade design features a dual power supply, which enhances SRAM stability and performance using an elevated array-specific power supply, while reducing the logic power consumption. Hardware measurements demonstrate low-voltage operation and reduced scatter of the minimum operating voltage. The chip operates at 6GHz at 1.3V and is fabricated in a 65nm CMOS SOI technology.


IEEE Journal of Solid-state Circuits | 2008

Implementation of the Cell Broadband Engine™ in 65 nm SOI Technology Featuring Dual Power Supply SRAM Arrays Supporting 6 GHz at 1.3 V

Juergen Pille; Chad Adams; Todd Alan Christensen; Scott R. Cottier; Sebastian Ehrenreich; Fumihiro Kono; Daniel Mark Nelson; Osamu Takahashi; Shunsako Tokito; Otto Torreiter; Otto Wagner; Dieter Wendel

The 65 nm cell broadband enginetrade (cell BE) is a multi-core SoC, implemented in a high performance SOI technology featuring a separate dual power supply for SRAM arrays to improve stability and performance using an elevated voltage. A new method is shown to analyze the SRAM cell under application conditions which was used to tune the cell for stability, write-ability and performance. An improved write scheme is shown which widens the overall functional window and allows setting the power/performance point of the arrays independently of the surrounding logic. Hardware measurements demonstrate the advantages of the dual power supply under different aspects.


Ibm Journal of Research and Development | 2012

Electronic packaging of the IBM System z196 enterprise-class server processor cage

Thomas Strach; Frank E. Bosco; Kenneth L. Christian; Kevin R. Covi; Martin Eckert; Gregory R. Edlund; Roland Frech; Hubert Harrer; Andreas Huber; Dierk Kaller; Martin Kindscher; A. Z. Muszynski; G. A. Peterson; Claudio Siviero; Jochen Supper; Otto Torreiter; Thomas-Michael Winkel

In this paper, we describe the first- and second-level system packaging structure of the IBM zEnterprise® 196 (z196) enterprise-class server. The design point required a more than 50% overall increase in system performance (in millions of instructions per second) in comparison to its predecessor. This resulted in a new system design that includes, among other things, increased input/output bandwidth, more processors with higher frequencies, and increased current demand of more than 2,000 A for the six processor chips and two cache chips per multichip module. To achieve these targets, we implemented several new packaging technologies. The z196 enterprise-class server uses a new differential memory interface between the processor chips and custom-designed server memory modules. The electrical power delivery system design follows a substantially new approach using Vicor Factor Power® blocks, which results in higher packaging integration density and minimized package electrical losses. The power noise decoupling strategy was changed because of the availability of deep-trench technology on the new processor chip generation.


international test conference | 1997

Testing the enterprise IBM System/390/sup TM/ multi processor

Otto Torreiter; Ulrich Baur; Georg Goecke; Kevin Melocco

This paper describes the test generation strategies, novel test generation techniques and the tester strategy for testing the IBM System/390/sup TM/ Generation-3 Enterprise System Multi-Processor Module. The paper provides a review of the key test methodologies and a review of actual test results as seen at the product tester.


international solid-state circuits conference | 2010

A 32kB 2R/1W L1 data cache in 45nm SOI technology for the POWER7TM processor

Juergen Pille; Dieter Wendel; Otto Wagner; Rolf Sautter; Wolfgang Penth; Thomas Froehnel; Stefan Buettner; Otto Torreiter; Martin Eckert; Jose Angel Paredes; David A. Hrusecky; David Scott Ray; Miles G. Canada

Increasing demand for parallelism due to out-of-order and multi-threading computation requires fast and dense arrays with multi-port capabilities. The load-store-unit (LSU) of the POWER7™ microprocessor core has a 32kB L1 data cache composed of four 8kB blocks. In a two-cycle back-to-back operation it supports concurrently two independent read and one write operations. Organized in banks of 16 cells each, the two reads operate independently in any of these banks, including two reads within the same bank, even the same cell. A bank selected for write is blocked for any read operation. If read and write collide within the same bank, collision-control circuitry provides write-over-read priority. Each read port provides 4B from 1 of 256 locations, whereas the double-bandwidth write operation provides individual control of 8B to 128 locations.


Microelectronics Reliability | 2005

Characterization of a 0.13 μm CMOS Link Chip using Time Resolved Emission (TRE)

Franco Stellari; Peilin Song; John Nicholas Hryckowian; Otto Torreiter; Steve Wilson; Philip T. Wu; Alberto Tosi

The Picosecond Imaging Circuit Analysis (PICA) technique using the Superconducting Single-Photon Detector (SSPD) allows the detailed characterization of pulse width variations along the delay chain of a high speed Self Timing Interface (STI). Pulses gradually shrink and finally disappear along the delay chain.


international solid-state circuits conference | 2017

26.2 Power supply noise in a 22nm z13™ microprocessor

Pierce I-Jen Chuang; Christos Vezyrtzis; Divya Pathak; Richard F. Rizzolo; Tobias Webel; Thomas Strach; Otto Torreiter; Preetham M. Lobo; Alper Buyuktosunoglu; Ramon Bertran; Michael Stephen Floyd; Malcolm Scott Ware; Gerard M. Salem; Sean M. Carey; Phillip J. Restle

Successful power supply noise mitigation requires a system-level approach that includes design and modeling of the mitigation circuits with the power delivery network (PDN) on the chip, the chip module, the backplane, and the voltage regulator module (VRM). Traditionally, periodic square-wave activity patterns with all cores in sync, which yield low-frequency (LF) or mid-frequency (MF) impedance peaks associated with the backplane and chip/module, respectively, are considered to give rise to the worst case power supply noise. However, voltage droops that are both deeper and faster at a single victim core are created when cores change activity in more complicated patterns, termed as perfect storms in this work. These patterns excite high-frequency (HF) modes that are not stimulated when all cores switch simultaneously, and require an accurate model of the packaged chip, including effective core-to-core inductances due to currents traveling between cores through low-resistance module planes.


european solid state circuits conference | 2017

A system of array families and synthesized soft arrays for the POWER9™ processor in 14nm SOI FinFET technology

Philipp Salz; A. Frisch; Wolfgang Penth; J. Noack; T. Kalla; Rolf Sautter; Michael Kugel; Otto Torreiter; G. Sapp; Michael Ju Hyeok Lee; Eric Fluhr; Amira Rozenfeld; Jürgen Pille; Dieter Wendel

The POWER9™ Processor in 14 nm SOI FinFET technology makes use of 7 different families of arrays. This paper gives an overview on advantages of different implementations, focusing on two key innovations introduced with this processor generation: Fast and low-latency write assist schemes for single-voltage performance arrays, as well as a new methodology, the synthesized soft arrays, to enable significant improvements for small array structures in both area and design efficiency.


european solid state circuits conference | 2015

A 4GHz, low latency TCAM in 14nm SOI FinFET technology using a high performance current sense amplifier for AC current surge reduction

Alexander Fritsch; Michael Kugel; Rolf Sautter; Dieter Wendel; Juergen Pille; Otto Torreiter; Shankar Kalyanasundaram; Daniel Dobson

A 4GHz, low latency TCAM in 14nm SOI FinFET technology, using a matchline current sensing scheme with an energy consumption of 0.63 fJ/bit/search at 0.9V and a peak current reduction of 50% compared to voltage sensing implementations. A by entry adjustable search depth allows to reduce power consumption for variable size translation tables. The implemented sandwich floorplan enables an area efficient integration of high performance 0.286μm2 16T-TCAM and 0.143μm2 8T-SRAM cells.


Archive | 1995

System and method testing computer memories

Otto Torreiter; Roland Metzger; Dieter Wendel

Researchain Logo
Decentralizing Knowledge