Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Paolo A. Gargini is active.

Publication


Featured researches published by Paolo A. Gargini.


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

EUV single patterning for logic metal layers: achievement and challenge (Conference Presentation)

Victor M. Blanco Carballo; Stephane Larivière; Rudi De Ruyter; Morin Dehan; G. McIntyre; Ryoung-Han Kim; Werner Gillijns; Ling Ee Tan; Youssef Drissi; Jae Uk Lee; Darko Trivkovic; Paolo A. Gargini; Kurt G. Ronse; Patrick Naulleau; Toshiro Itani

imec’s investigation on EUV single patterning insertion into industry 5nm-relevant logic metal layer is discussed. Achievement and challenge across imaging, OPC, mask data preparation and resulting wafer pattern fidelity are reported with a broad scope. nBest focus shift by mask 3D of isolated feature gets worse by the insertion of SRAF, which puts a negative impact on obtaining large overlap process window across features. imec’s effort across OPC including SMO and mask sizing is discussed with mask rule that affects mask writing. Resist stochastic induced defect is identified as a biggest challenge during the overall optimization, and options to overcome the challenge is investigated. For mask data preparation, dramatic increase in the data volume in EUV mask manufacturing is observed from iArF multiple patterning to EUV single patterning conversion, particularly by the insertion of SRAF. In addition, logic design consideration to make EUV single patterning more affordable compared to alternative patterning option is be discussed.


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

High-NA EUV lithography enabling Moore’s law in the next decade

Jan van Schoot; Kars Zeger Troost; Frank Bornebroek; Rob van Ballegoij; Sjoerd Lok; Peter Krabbendam; Judon Stoeldraijer; Erik Roelof Loopstra; Jos Benschop; Jo Finders; Hans Meiling; Eelco van Setten; Bernhard Kneer; Winfried Kaiser; Tilmann Heil; Sascha Migura; Peter Kuerz; Jens Timo Neumann; Paolo A. Gargini; Kurt G. Ronse; Patrick Naulleau; Toshiro Itani

While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their activities on a EUV exposure tool with Numerical Aperture of 0.55. The purpose of this scanner, targeting an ultimate resolution of 8nm, is to extend Moore’s law throughout the next decade. A novel, anamorphic lens design, capable of providing the required Numerical Aperture has been investigated; This lens will be paired with new, faster stages and more accurate sensors enabling Moore’s law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of the next generation EUV systems.


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

DDR process and materials for NTD photo resist in EUV lithography

Shuhei Shigaki; Satoshi Takeda; Wataru Shibayama; Makoto Nakajima; Rikimaru Sakamoto; Paolo A. Gargini; Kurt G. Ronse; Patrick Naulleau; Toshiro Itani

We developed the novel process and material which can prevent the pattern collapse issue perfectly. The process was Dry Development Rinse (DDR) process, and the material used in this process was DDR material. DDR material was containing siloxane polymer which could be replaced the space area of the photo resist pattern. And finally, the reversed pattern would be created by dry etching process without any pattern collapse issue. This novel process was useful not only in positive tone development (PTD) process but also in negative tone development (NTD) process. We newly developed DDR material for NTD process. Novel DDR material for NTD consists of special polymer and it used organic solvent system. New DDR materials showed no mixing property for NTD PR, so fine pattern of NTD PR could be filled by DDR materials then tone reverse could be achieved by dry etching process. Tone reverse was successfully achieved by combination of NTD PR and DDR process keeping good pattern quality in EUV lithography. Reversed pattern below hp 14nm was obtained without any pattern collapse issue, which couldn’t be created by just using normal NTD process. Reversed contact hole could be obtained in NTD-DDR process at 24nm hole size. Reversed C/H made by NTD pillar showed good LCDU compared to PTD C/H. In addition, reversed C/H at 20nm hole size could be achieved in NTD-DDR process. In DDR process, enough etch back is important to obtained fine reversed pattern with lower roughness but long etch back time caused degradation of the reversed pattern. Then etch back time was evaluated with NTD PR and DDR material. Reversed C/H showed minimum LCDU when short etch back time was applied, however degradation of LCDU was observed when long etch back was applied. LCDU of reversed C/H made by NTD-DDR process was 3.2nm. On the other hands, LCDU of normal C/H made by PTD process was 3.5nm, so reversed C/H from NTD pillar showed better LCDU than PTD C/H when suitable etch back was applied.


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

Evaluating mechanical characteristic of SiNx EUV pellicle membrane (Conference Presentation)

Yong Ju Jang; Jung Hwan Kim; Jin-ho Ahn; Paolo A. Gargini; Kurt G. Ronse; Patrick Naulleau; Toshiro Itani

Various materials and structures have been studied to improve the mechanical and thermal properties of extremely thin membrane of EUV pellicle. We are developing pellicle membranes based on silicon nitride because silicon nitride is known to be stronger than silicon (e.g., Young’s modulus of bulk material: ~300 GPa vs. ~150 GPa). Mechanical strength is required to guarantee the durability under mask stage acceleration and venting/pumping process. However, it is difficult to characterize the mechanical properties of nano-scale membrane such as Young’s modulus, Poisson’s ratio and fracture strength.nIn this paper, mechanical properties of silicon nitride membranes with thickness less than 50nm were characterized by bulge test, tensile test and nano-indentation. Specially-designed ‘push-to-pull device’ was used to obtain stress-strain curve of silicon nitride membrane with 1.54 µm width and 2.45 µm length, and the Young’s modulus of ~93GPa and tensile strength of 3.2GPa were obtained. Bulge-test were performed on silicon nitride membrane with 1 x 1 cm2 size, and the deformation of membrane induced by pressure load was monitored by laser displacement sensor with 0.1 μm resolution. And the data points were fitted to the theoretical equation modified for square membrane and the Young’s modulus of ~ 200 GPa was obtained. This value is higher than the one obtained by tensile test but lower than the bulk value. The detailed explanation of experimental data will be discussed during the presentation.


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

EUV lithography industrialization progress

Roderik van Es; Mark van de Kerkhof; Leon Martin Levasier; Rudy Peeters; Hans Jasper; Paolo A. Gargini; Kurt G. Ronse; Patrick Naulleau; Toshiro Itani

This presentation will provide an overview of the industrialization of EUV Lithography, including the latest data on imaging, overlay, defectivity and source power/ productivity. The focus of the presentation will be on the NXE:3400B scanner, ASML’s fifth generation EUV lithography tool intended for the sub 10 nm volume production Furthermore the ASML roadmap, NXE:3300B and NXE:3350B field data and field performance data will be shown


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

Performance and characteristics of the NXE:3400 optical system enabling sub-10nm node lithography (Conference Presentation)

Olaf Conradi; Michael Busshardt; Benjamin Kaminski; Peter Kürz; Jörg Tschischgale; Albert Voit; Markus Hauf; Jörg Zimmermann; Erik Roelof Loopstra; Tilmann Heil; Mark van de Kerkhof; Jelmer Kamminga; Roel Merry; Hans Jasper; Paolo A. Gargini; Kurt G. Ronse; Patrick Naulleau; Toshiro Itani

The optical train is a key sub-system of each lithography scanner. The single patterning resolution limit of a scanner is determined by the characteristics and performance of its imaging system consisting of illumination and projection optics. The most relevant performance parameters of the illumination system are the maximum achievable setting flexibility, off-axis imaging capability (sigma) and pupil fill ratio (PFR). The projection optics key drivers numerical aperture (NA), aberration level, and stray light determine resolution limit and image quality of the scanner. In EUV lithography, optimizing aerial image contrast and image overlay is of particular importance to achieve the required resolution and edge placement performance of the scanner because stochastic effects degrading the initial image as e.g. resist blur and photon shot noise are still comparably strong.nIn this paper, we present an overview on the new features of the NXE:3400 EUV optical system designed to improve resolution limit, contrast and overlay performance of the NXE:3400 scanner. The illumination system features a novel design based on a large number of switchable facetted mirrors which enables an unprecedented setting flexibility and reduced pupil fill ratio. Furthermore, the off-axis imaging capability of the illuminator has been extended to the full NA which in combination with the reduced PFR improves the single patterning resolution limit of the NXE:3400 by approximately 20% down to 13nm. In addition, by exploiting the increased flexibility of the 3400 illumination system, we demonstrate the ability to further correct for 3D mask effects, and excellent matching to the NXE:3350 system. The projection optics features a NA of 0.33 with significantly reduced aberration level as compared to the precedent 3350 projection optics. In particular, the non-correctable errors impacting scanner overlay, and the wavefront RMS impacting image contrast have been substantially reduced. Keeping the design concept, the improvements have been implemented such that a seamless matching to the 3350 projection optics is guaranteed. nFinally, we present NXE:3400 printing results to verify the imaging performance of the NXE:3400 optical system in resist. NXE:3400B wafer prints demonstrate excellent and consistent imaging performance across several systems in line with the discussed improvements of the optical train.


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

EUV exposure tool stability at IMEC (Conference Presentation)

Vinayan Menon; Lieve Van Look; Eric Hendrickx; Andre van Dijk; Tom Lathouwers; Raul Pecharroman-Gallego; Paolo A. Gargini; Kurt G. Ronse; Patrick Naulleau; Toshiro Itani

Source challenges have prolonged EUVL insertion into high volume chip production. Though recent years have seen much faster pace mitigation of key detractors. nnIn meantime ASML’s first production platform NXE3300B continues to positively support imec’s core Advanced Lithography Program and Advanced Patterning Center. nnThis presentation discusses source instability challenges addressed that impacted availability & power under UP2 configuration. Collector degradation caused illumination pupil pixel loss but remained within expected levels. nnLong term exposure tool monitoring data indicates production sustainable capability for EUV dose variability & CDU. Lens aberration, focus & overlay issues unique to EUV addressed will also be discussed.


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

A novel route to EUV resists design: Fundamental understanding of chemical processes (Conference Presentation)

Bo Xu; Musahid Ahmed; Kristi D. Closser; David Prendergast; Patrick P. Naulleau; Deirdre L. Olynick; Paul D. Ashby; Yi Liu; William D. Hinsberg; Gregory M. Wallraff; Daniel Slaughter; D. Frank Ogletree; Paolo A. Gargini; Kurt G. Ronse; Toshiro Itani

New resists are needed to advance EUV lithography. Tailored design of efficient photoresist is impossible without fundamental understanding of EUV induced chemistry. Resists incorporating high cross-section elements efficiently utilize EUV photons via radiation absorption by core-level electrons, resulting in emission of primary electrons. However, this is only an initial step in the process. Auger emission, molecular fragmentation, and subsequent electron-resist interactions are also critical. Understanding all these steps is crucial to harness all the deposited energy for improved patterning results.nIn this work, we present recent results of multimodal experimental approaches to study photoresist materials. To build our grasp of EUV photochemistry from the ground up we aim for understanding the whole variety of processes happening after absorption of an EUV photon by a single building block of resist material – a resist molecule. Model photoresist constituent molecules functionalized with halogen atoms, are isolated in the gas phase and exposed to tunable EUV radiation from the Advanced Light Source, Berkeley Lab and the direct processes are investigated by photoelectron spectroscopy and photoionization mass spectrometry. We quantify the performance of several candidate molecules in terms of photoemission cross-sections and electron yield per primary photoionization event. We demonstrate that some prototype resist molecules can emit several (photo- and Auger) electrons after single EUV photon absorption. Following the electron emission, the atomic relaxation leads to the molecule fragmentation, which also depends on the halogen functionalization. Secondary electron-driven reactions are studied by tunable electron impact ionization and dissociative electron attachment mass spectrometry. We demonstrate that even very low kinetic energy electrons may lead to the molecule dissociation.nWhile gas-phase studies do provide insight into the primary EUV photon or electron induced events in the individual resist molecules, we seek to understand these processes in the condensed phase as this is where industrially relevant processes will occur. We discuss techniques allowing for generation of resist nanoparticles of different morphology, representing either condensed resist or a substrate coated by a resist film. The same techniques, as applied to investigate resist’s building blocks, are used to study the condensed resist material, connecting our understanding of the fundamental phenomena from each isolated molecule to the solid state system.


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

Taking a SHARP look at mask 3D effects

Markus P. Benk; Weilun Chao; Ryan Miyakawa; Kenneth A. Goldberg; Patrick P. Naulleau; Paolo A. Gargini; Kurt G. Ronse; Toshiro Itani

Mask 3D effects are an area of active research in EUV mask technology. Mask-side numerical aperture, illumination, feature size and absorber thickness are key factors modulating mask 3D effects and affecting printability and process window. Variable mask-side NA and flexible illumination make the SHARP actinic EUV microscope a powerful instrument for the study of mask 3D effects. We show an application example, comparing mask 3D effects for a standard Tantalum Nitride absorber and a thinner, 40-nm Nickel absorber. Data is presented for 0.33 4xNA and anamorphic 0.55 4x/8xNA. The influence of different illumination settings on mask 3D effects is discussed.


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

Challenges to realize the EUV-FEL high-power light source for HVM system (Conference Presentation)

Norio Nakamura; E. Kako; Ryukou Kato; Tsukasa Miyajima; Hiroshi Kawata; Paolo A. Gargini; Kurt G. Ronse; Patrick P. Naulleau; Toshiro Itani

It is important to develop the high power EUV light source up to 1 kW to realize the 3nm node, which is expected to be in production at 2023-24. To this end, an energy recovery linac (ERL)-based free electron laser (FEL) must be a most promising candidate, so that our group has done some feasibility studies from the view point of accelerator technology. In order to realize the EUV-FEL high power light source, it is also important to recognize the demand of end users and related problems on the FEL light source. Last year, we attended many conferences and workshops to learn these items and also we organized one day workshop “EUV-FEL Workshop” at Tokyo. You can find the presentation materials in a website of http://pfwww.kek.jp/PEARL/EUV-FEL_Workshop/presentaions.html. nOne of the most important requirements is to reduce the size of the EUV-FEL system. The total system size is about 200 m (L).x 20 m (W) at our current design of the EUV-FEL with 160m linac, where the acceleration energy and current are 800 MeV and 10 mA, respectively. However, we had comments from semiconductor industry that it is too long to install the light source in a usual LSI Fab, so that we have to find out solutions to reduce the length of the accelerator systems to ~100 m. To this end, there are following several challenges. n1) Increasing the field gradient of the superconducting RF (SRF) cavity to reduce the total length of the linac.n2) Higher Q to reduce the RF loss in higher field gradient SRF cavity.n3) Reduction of the acceleration energy by introducing shorter period undulator .n4) Double loop accelerator system, in which the electron passes through a same linac twice and accelerated up to twice energy or accelerating cavities are placed on both loop sides.nThe R&D directions of the above challenges on accelerator technologies will be presented.

Collaboration


Dive into the Paolo A. Gargini's collaboration.

Top Co-Authors

Avatar

Kurt G. Ronse

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Patrick P. Naulleau

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Kenneth A. Goldberg

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Markus P. Benk

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Weilun Chao

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge