Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Michele De Marchi is active.

Publication


Featured researches published by Michele De Marchi.


IEEE Electron Device Letters | 2014

Configurable Logic Gates Using Polarity Controlled Silicon Nanowire Gate-All-Around FETs

Michele De Marchi; Jian Zhang; Stefano Frache; Davide Sacchetto; Pierre-Emmanuel Gaillardon; Yusuf Leblebici; Giovanni De Micheli

This letter demonstrates the first fabricated four-transistor logic gates using polarity-configurable, gate-all-around silicon nanowire transistors. This technology enhances conventional CMOS functionality by adding the degree of freedom of dynamic polarity control n- or p-type. In addition, devices are fabricated with low, uniform doping profiles, reducing constraints at scaled technology nodes. We demonstrate through measurements and simulations how this technology can be applied to fabricate logic gates with fewer resources than CMOS. In particular, full-swing output XOR and NAND logic gates are demonstrated using the same physical four-transistor circuit.


Philosophical Transactions of the Royal Society A | 2014

Nanowire systems: technology and design.

Pierre-Emmanuel Gaillardon; Luca Gaetano Amarù; Shashikanth Bobba; Michele De Marchi; Davide Sacchetto; Giovanni De Micheli

Nanosystems are large-scale integrated systems exploiting nanoelectronic devices. In this study, we consider double independent gate, vertically stacked nanowire field effect transistors (FETs) with gate-all-around structures and typical diameter of 20 nm. These devices, which we have successfully fabricated and evaluated, control the ambipolar behaviour of the nanostructure by selectively enabling one type of carriers. These transistors work as switches with electrically programmable polarity and thus realize an exclusive or operation. The intrinsic higher expressive power of these FETs, when compared with standard complementary metal oxide semiconductor technology, enables us to realize more efficient logic gates, which we organize as tiles to realize nanowire systems by regular arrays. This article surveys both the technology for double independent gate FETs as well as physical and logic design tools to realize digital systems with this fabrication technology.


IEEE Transactions on Nanotechnology | 2014

Top-Down Fabrication of Gate-All-Around Vertically-Stacked Silicon Nanowire FETs with Controllable Polarity

Michele De Marchi; Davide Sacchetto; Jian Zhang; Stefano Frache; Pierre-Emmanuel Gaillardon; Yusuf Leblebici; Giovanni De Micheli

As the current MOSFET scaling trend is facing strong limitations, technologies exploiting novel degrees of freedom at physical and architecture level are promising candidates to enable the continuation of Moores predictions. In this paper, we report on the fabrication of novel ambipolar Silicon nanowire (SiNW) Schottky-barrier (SB) FET transistors featuring two independent gate-all-around electrodes and vertically stacked SiNW channels. A top-down approach was employed for the nanowire fabrication, using an e-beam lithography defined design pattern. In these transistors, one gate electrode enables the dynamic configuration of the device polarity (n - or p-type) by electrostatic doping of the channel in proximity of the source and drain SBs. The other gate electrode, acting on the center region of the channel switches ON or OFF the device. Measurement results on silicon show Ion/Ioff >106 and subthreshold slopes approaching the thermal limit, ≈ 64 mV/dec (70 mV/dec) for p(n)-type operation in the same physical device. Finally, we show that the XOR logic operation is embedded in the device characteristic, and we demonstrate for the first time a fully functional two-transistor XOR gate.


IEEE Transactions on Electron Devices | 2014

Polarity-Controllable Silicon Nanowire Transistors With Dual Threshold Voltages

Jian Zhang; Michele De Marchi; Davide Sacchetto; Pierre-Emmanuel Gaillardon; Yusuf Leblebici; Giovanni De Micheli

Gate-all-around (GAA) silicon nanowires enable an unprecedented electrostatic control on the semiconductor channel that can push device performance with continuous scaling. In modern electronic circuits, the control of the threshold voltage is essential for improving circuit performance and reducing static power consumption. Here, we propose a silicon nanowire transistor with three independent GAA electrodes, demonstrating, within a unique device, a dynamic configurability in terms of both polarity and threshold voltage (VT). This silicon nanowire transistor is fabricated using a vertically stacked structure with a top-down approach. Unlike conventional threshold voltage modulation techniques, the threshold control of this device is achieved by adapting the control scheme of the potential barriers at the source and drain interfaces and in the channel. Compared to conventional dual-threshold techniques, the proposed device does not tradeoff the leakage reduction at the detriment of the ON-state current, but only through a later turn-ON coming from a higher VT. This property offers leakage control at a reduction of loss in performance. The measured characteristic demonstrates a threshold voltage difference of ~0.5 V between low-VT and high-VT configurations, while high-VT configuration reduces the leakage current by two orders of magnitude as compared to low-VT configuration.


international electron devices meeting | 2014

A Schottky-barrier silicon FinFET with 6.0 mV/dec Subthreshold Slope over 5 decades of current

Jian Zhang; Michele De Marchi; Pierre-Emmanuel Gaillardon; Giovanni De Micheli

In this paper, we demonstrate a steep Subthreshold Slope (SS) silicon FinFET with Schottky-barrier source/drain. The device shows a minimal SS of 3.4 mV/dec and an average SS of 6.0 mV/dec over 5 decades of current swing. Ultra-low leakage floor of 0.06 pA/μm is also achieved with high I<sub>on</sub>/I<sub>off</sub> ratio of 10<sup>7</sup>.


design automation conference | 2012

Physical synthesis onto a Sea-of-Tiles with double-gate silicon nanowire transistors

Shashikanth Bobba; Michele De Marchi; Yusuf Leblebici; Giovanni De Micheli

We have designed and fabricated double-gate ambipolar field-effect transistors, which exhibit p-type and n-type characteristics by controlling the polarity of the second gate. In this work, we present an approach for designing an efficient regular layout, called Sea-of-Tiles (SoTs). First, we address gate-level routing congestion by proposing compact layout techniques and novel symbolic-layout styles. Second, we design four logic tiles, which form the basic building block of the SoT fabric. We run extensive comparisons of mapping standard benchmarks on the SoT. Our study shows that SoT with TileG2 and TileG1h2, on an average, outperforms the one with TileG1 and TileG3 by 16% and 10% in area utilization, respectively.


design, automation, and test in europe | 2013

Vertically-stacked double-gate nanowire FETs with controllable polarity: from devices to regular ASICs

Pierre-Emmanuel Gaillardon; Luca Gaetano Amarù; Shashikanth Bobba; Michele De Marchi; Davide Sacchetto; Yusuf Leblebici; Giovanni De Micheli

Vertically stacked nanowire FETs (NWFETs) with gate-all-around structure are the natural and most advanced extension of FinFETs. At advanced technology nodes, many devices exhibit ambipolar behavior, i.e., the device shows n- and p-type characteristics simultaneously. In this paper, we show that, by engineering of the contacts and by constructing independent double-gate structures, the device polarity can be electrostatically programmed to be either n- or p-type. Such a device enables a compact realization of XOR-based logic functions at the cost of a denser interconnect. To mitigate the added area/routing overhead caused by the additional gate, an approach for designing an efficient regular layout, called Sea-of-Tiles is presented. Then, specific logic synthesis techniques, supporting the higher expressive power provided by this technology, are introduced and used to showcase the performance of the controllable-polarity NWFETs circuits in comparison with traditional CMOS circuits.


international symposium on nanoscale architectures | 2010

Regular fabric design with ambipolar CNTFETs for FPGA and structured ASIC applications

Michele De Marchi; M. Haykel Ben Jamaa; Giovanni De Micheli

In this paper, we propose for the first time the application of ambipolar CNTFETs with in-field controllable polarities to design regular fabrics with static logic. We exploit the high expressive power provided by complementary static logic built with ambipolar CNTFETs to design compact and efficient configurable gates. After evaluating a polarity-aware logic design for the configurable gates, we selected a number of gates with an And-Or-Inverter structure and produced a first comparison with existent medium-grained logic blocks, like the Actel ACT1 and 4-input LUTs [1]. Preliminary evaluation of our gates indicates improvements of around 47% over the ACT1 and of about 18× with respect to 4-input LUTs in terms of area×normalized delay.


china semiconductor technology international conference | 2011

FPGA Design with Double-Gate Carbon Nanotube Transistors

M. Haykel Ben Jamaa; Pierre-Emmanuel Gaillardon; Sébastien Fregonese; Michele De Marchi; Giovanni De Micheli; Thomas Zimmer; Ian O'Connor; Fabien Clermidy

Double-gate carbon nanotube field effect transistors (DGCNTFETs) are novel devices showing an interesting property allowing to control the p- or n-type behavior during the device operation. This opens up the opportunity for novel design paradigms. Based on a compact physical model of these devices, we demonstrate the benefit of designing field-programmable gate arrays (FPGAs) using fine-grain DG-CNTFET logic blocs rather than traditional look-up tables and coarse-grain DG-CNTFET logic blocs. In particular, we show a reduction by 13% to 48% on average in terms of delay of FPGA benchmarks.


IEEE Journal on Emerging and Selected Topics in Circuits and Systems | 2016

Co-Design of ReRAM Passive Crossbar Arrays Integrated in 180 nm CMOS Technology

Jury Sandrini; Marios Barlas; Maxime Thammasack; Tugba Demirci; Michele De Marchi; Davide Sacchetto; Pierre-Emmanuel Gaillardon; Giovanni De Micheli; Yusuf Leblebici

This work presents the co-integration of resistive random access memory crossbars within a 180 nm Read-Write CMOS chip. TaOx-based ReRAMs have been fabricated and characterized with materials and process steps compatible with the CMOS Back-End-of-the-Line. Two different strategies, consisting in insertion of an Al2O3 tunnel barrier layer and the design of a dedicated CMOS read circuit, have been developed in order to increase the cell high-to-low resistance ratio of a factor of 1000 and to reduce the sneak-path current effects by one order of magnitude. The ReRAM cells have been integrated directly on a standard CMOS foundry chip, enabling low cost ReRAM-CMOS integration. The integrated memories show a set and reset voltages of -1 and 1.3 V, respectively. The measured operating voltages are compatible for low-voltage applications.

Collaboration


Dive into the Michele De Marchi's collaboration.

Top Co-Authors

Avatar

Giovanni De Micheli

École Polytechnique Fédérale de Lausanne

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Davide Sacchetto

École Polytechnique Fédérale de Lausanne

View shared research outputs
Top Co-Authors

Avatar

Yusuf Leblebici

École Polytechnique Fédérale de Lausanne

View shared research outputs
Top Co-Authors

Avatar

Jian Zhang

École Polytechnique Fédérale de Lausanne

View shared research outputs
Top Co-Authors

Avatar

Shashikanth Bobba

École Polytechnique Fédérale de Lausanne

View shared research outputs
Top Co-Authors

Avatar

M. Haykel Ben Jamaa

École Polytechnique Fédérale de Lausanne

View shared research outputs
Top Co-Authors

Avatar

Maxime Thammasack

École Polytechnique Fédérale de Lausanne

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge