Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ravikiran Attota is active.

Publication


Featured researches published by Ravikiran Attota.


Applied Optics | 2007

Scatterfield microscopy for extending the limits of image-based optical metrology

Richard M. Silver; Bryan M. Barnes; Ravikiran Attota; Jay Jun; Michael T. Stocker; Egon Marx; Heather J. Patrick

We have developed a set of techniques, referred to as scatterfield microscopy, in which the illumination is engineered in combination with appropriately designed metrology targets to extend the limits of image-based optical metrology. Previously we reported results from samples with sub-50-nm-sized features having pitches larger than the conventional Rayleigh resolution criterion, which resulted in images having edge contrast and elements of conventional imaging. In this paper we extend these methods to targets composed of features much denser than the conventional Rayleigh resolution criterion. For these applications, a new approach is presented that uses a combination of zero-order optical response and edge-based imaging. The approach is, however, more general and a more comprehensive set of analyses using theoretical methods is presented. This analysis gives a direct measure of the ultimate size and density of features that can be measured with these optical techniques. We present both experimental results and optical simulations using different electromagnetic scattering packages to evaluate the ultimate sensitivity and extensibility of these techniques.


Proceedings of SPIE | 2007

Fundamental limits of optical critical dimension metrology: a simulation study

Richard M. Silver; Thomas A. Germer; Ravikiran Attota; Bryan M. Barnes; Benjamin Bunday; John Allgair; Egon Marx; Jay Jun

This paper is a comprehensive summary and analysis of a SEMATECH funded project to study the limits of optical critical dimension scatterometry (OCD). The project was focused on two primary elements: 1) the comparison, stability, and validity of industry models and 2) a comprehensive analysis of process stacks to evaluate the ultimate sensitivity and limits of OCD. Modeling methods are a requirement for the interpretation and quantitative analysis of scatterometry data. The four models evaluated show good agreement over a range of targets and geometries for zero order specular reflection as well as higher order diffraction. A number of process stacks and geometries representing semiconductor manufacturing nodes from the 45 nm node to the 18 nm node were simulated using several measurement modalities including angle-resolved scatterometry and spectrally-resolved scatterometry, measuring various combinations of intensity and polarization. It is apparent in the results that large differences are observed between those methods that rely upon unpolarized and single polarization measurements. Using the three parameter fits and assuming that the sensitivity of scatterometry must meet the criterion that the 3σ uncertainty in the bottom dimension must be less than 2% of the linewidth, specular scatterometry solutions exist for all but the isolated lines at 18 nm node. Scatterometry does not have sufficient sensitivity for isolated and semi-isolated lines at the 18 nm node unless the measurement uses wavelengths as short as 200 nm or 150 nm and scans over large angle ranges.


Optics Letters | 2008

Through-focus scanning-optical-microscope imaging method for nanoscale dimensional analysis.

Ravikiran Attota; Thomas A. Germer; Richard M. Silver

We present a novel optical technique that produces nanometer dimensional measurement sensitivity using a conventional bright-field optical microscope, by analyzing through-focus scanning-optical-microscope images obtained at different focus positions. In principle, this technique can be used to identify which dimension is changing between two nanosized targets and to determine the dimension using a library-matching method. This methodology has potential utility for a wide range of target geometries and application areas, including nanometrology, nanomanufacturing, semiconductor process control, and biotechnology.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

High-resolution optical overlay metrology

Richard M. Silver; Ravikiran Attota; Michael T. Stocker; Michael Bishop; Jau-Shi Jay Jun; Egon Marx; Mark P. Davidson; Robert D. Larrabee

Optical methods are often thought to lose their effectiveness as a metrology tool beyond the Rayleigh criterion. However, using advanced modeling methods, the conventional resolution limitations encountered in well-defined edge-to-edge measurements using edge thresholds do not apply. In fact, in this paper we present evidence that optics can be used to image and measure features as small as 10 nm in dimension, well below the imaging wavelength. To understand the limits of optical methods we have extensively studied both linewidth and overlay metrology applications. Although overlay applications are usually thought to involve pitch or centerline measurements of features from different process levels, some target designs present optical proximity effects which pose a significant challenge. Likewise, line width measurements require determination of the physical edges and geometry which created that profile. Both types of measurements require model-based analysis to accurately evaluate the data and images. In this paper we explore methods to optimize target geometry, optical configurations, structured illumination, and analysis algorithms with applications in both critical dimension and overlay metrology.


Proceedings of SPIE | 2011

TSOM Method for Semiconductor Metrology

Ravikiran Attota; Ronald G. Dixson; John A. Kramar; James E. Potzick; Andras Vladar; Benjamin Bunday; Erik Novak; Andrew C. Rudack

Through-focus scanning optical microscopy (TSOM) is a new metrology method that achieves 3D nanoscale measurement sensitivity using conventional optical microscopes; measurement sensitivities are comparable to what is typical when using scatterometry, scanning electron microscopy (SEM), and atomic force microscopy (AFM). TSOM can be used in both reflection and transmission modes and is applicable to a variety of target materials and shapes. Nanometrology applications that have been demonstrated by experiments or simulations include defect analysis, inspection and process control; critical dimension, photomask, overlay, nanoparticle, thin film, and 3D interconnect metrologies; line-edge roughness measurements; and nanoscale movements of parts in MEMS/NEMS. Industries that could benefit include semiconductor, data storage, photonics, biotechnology, and nanomanufacturing. TSOM is relatively simple and inexpensive, has a high throughput, and provides nanoscale sensitivity for 3D measurements with potentially significant savings and yield improvements in manufacturing.


Journal of Micro-nanolithography Mems and Moems | 2008

Optical critical dimension measurement of silicon grating targets using back focal plane scatterfield microscopy

Heather J. Patrick; Ravikiran Attota; Bryan M. Barnes; Thomas A. Germer; Ronald G. Dixson; Michael T. Stocker; Richard M. Silver; Michael Bishop

We demonstrate optical critical dimension measurement of lines in silicon grating targets using back focal plane scatterfield icroscopy. In this technique, angle-resolved diffraction signatures are obtained from grating targets by imaging the back focal plane of a brightfield microscope that has been modified to allow selection of the angular distribution and polarization of the incident illumination. The target line profiles, including critical dimension linewidth and sidewall angle, are extracted using a scatterometry method that compares the diffraction signatures to a library of theoretical signatures. Because we use the zero-order component of the diffraction, the target features need not be resolved in order to obtain the line profile. We extracted line profiles from two series of targets with fixed pitch but varying linewidth: a subresolution 300-nm-pitch series, and a resolved 600-nm-pitch series. Linewidths of 131 nm to 139 nm were obtained, with nanometer-level sensitivity to linewidth, and a linear relationship of linewidth obtained from scatterfield microscopy to linewidth measured by scanning electron microscopy was demonstrated. Conventional images can be easily collected on the same microscope, providing a powerful tool for combining imaging metrology with scatterometry for optical critical dimension measurement.


Applied Physics Letters | 2014

Resolving three-dimensional shape of sub-50 nm wide lines with nanometer-scale sensitivity using conventional optical microscopes

Ravikiran Attota; Ronald G. Dixson

We experimentally demonstrate that the three-dimensional (3-D) shape variations of nanometer-scale objects can be resolved and measured with sub-nanometer scale sensitivity using conventional optical microscopes by analyzing 4-D optical data using the through-focus scanning optical microscopy (TSOM) method. These initial results show that TSOM-determined cross-sectional (3-D) shape differences of 30 nm–40 nm wide lines agree well with critical-dimension atomic force microscope measurements. The TSOM method showed a linewidth uncertainty of 1.22 nm (k = 2). Complex optical simulations are not needed for analysis using the TSOM method, making the process simple, economical, fast, and ideally suited for high volume nanomanufacturing process monitoring.


Metrology, Inspection, and Process Control for Microlithography XIX, Richard M. Silver, Editor, May 2005, Contributors to Overlay, Causes Registration Errors | 2005

High-resolution optical metrology

Richard M. Silver; Ravikiran Attota; Michael T. Stocker; Michael Bishop; Lowell P. Howard; Thomas A. Germer; Egon Marx; Mark P. Davidson; Robert D. Larrabee

Recent advances in optical imaging techniques have unveiled new possibilities for optical metrology and optical-based process control measurements of features in the 65 nm node and beyond. In this paper we discuss methods and applications that combine illumination engineering and structured targets which enable sensitivity to nanometer scale changes using optical imaging methods. These methods have been investigated using simulation tools and experimental laboratory apparatus. The simulation results have demonstrated substantial sensitivity to nanometer changes in feature geometry. Similar results have now been observed in the laboratory. In this paper we will show simulation data to motivate the use of low numerical aperture and structured illumination optical configurations. We will also present the basic elements and methods which we are now using in the design of an optical tool specifically designed for these types of measurements. Target configurations which enhance the scattered electromagnetic fields will be shown along with experimental verification of the methodology. The simulation and experimental apparatus is used to explore and optimize target geometry, optical configurations, and illumination structure for applications in both critical dimension and overlay metrology.


Applied Physics Letters | 2014

Nanoparticle size determination using optical microscopes

Ravikiran Attota; Premsagar P. Kavuri; Hyeonggon Kang; Richard Kasica; Lei Chen

We present a simple method for size determination of nanoparticles using conventional optical microscopes. The method, called through-focus scanning optical microscopy, makes use of the four-dimensional optical information collected at different focus positions. Low partial coherence illumination combined with analysis of through-focus optical content enables nanoparticle size determination with nanometer scale sensitivity. We experimentally demonstrate this using fabricated Si nanodots and spherical gold nanoparticles. The method is economical, as no hardware modifications to conventional optical microscopes are needed. In addition, the method also has high throughput and potential for soft nanoparticle size determination without distortion.


Proceedings of SPIE | 2008

Optical Through-Focus Technique that Differentiates Small Changes in Line Width, Line Height and Sidewall Angle for CD, Overlay, and Defect Metrology Applications

Ravikiran Attota; Richard M. Silver; Bryan M. Barnes

We present a new optical technique for dimensional analysis of sub 100 nm sized targets by analyzing through-focus images obtained using a conventional bright-field optical microscope. We present a method to create through-focus image maps (TFIM) using optical images, which we believe unique for a given target. Based on this we present a library matching method that enables us to determine all the dimensions of an unknown target. Differential TFIMs of two targets are distinctive for different dimensional differences and enable us to uniquely identify the dimension that is different between them. We present several supporting examples using optical simulations and experimental results. This method is expected to be applicable to a wide variety of targets and geometries.

Collaboration


Dive into the Ravikiran Attota's collaboration.

Top Co-Authors

Avatar

Richard M. Silver

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Michael T. Stocker

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Egon Marx

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Robert D. Larrabee

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Bryan M. Barnes

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Ronald G. Dixson

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Thomas A. Germer

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Andras Vladar

National Institute of Standards and Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge