Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ronald G. Dixson is active.

Publication


Featured researches published by Ronald G. Dixson.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Determination of optimal parameters for CD-SEM measurement of line-edge roughness

Benjamin Bunday; Michael Bishop; Donald W. McCormack; John S. Villarrubia; Andras Vladar; Ronald G. Dixson; Theodore V. Vorburger; Ndubuisi G. Orji; John A. Allgair

The measurement of line-edge roughness (LER) has recently become a topic of concern in the litho-metrology community and the semiconductor industry as a whole. The Advanced Metrology Advisory Group (AMAG), a council composed of the chief metrologists from the International SEMATECH (ISMT) consortium’s Member Companies and from the National Institute of Standards and Technology (NIST), has a project to investigate LER metrics and to direct the critical dimension scanning electron microscope (CD-SEM) supplier community towards a semiconductor industry-backed, standardized solution for implementation. The 2003 International Technology Roadmap for Semiconductors (ITRS) has included a new definition for roughness. The ITRS envisions root mean square measurements of edge and width roughness. There are other possible metrics, some of which are surveyed here. The ITRS envisions the root mean square measurements restricted to roughness wavelengths falling within a specified process-relevant range and with measurement repeatability better than a specified tolerance. This study addresses the measurement choices required to meet those specifications. An expression for the length of line that must be measured and the spacing of measurement positions along that length is derived. Noise in the image is shown to produce roughness measurement errors that have both random and nonrandom (i.e., bias) components. Measurements are reported on both UV resist and polycrystalline silicon in special test patterns with roughness typical for those materials. These measurements indicate that the sensitivity of a roughness measurement to noise depends importantly both on the choice of edge detection algorithm and the quality of the focus. Measurements are less sensitive to noise when a model-based or sigmoidal fit algorithm is used and when the images are in good focus. Using the measured roughness characteristics for UV resist lines and applying the ITRS requirements for the 90 nm technology node, the derived expression for sampling length and sampling interval implies that a length at least 8 times the node (i.e., 720 nm) must be measured at intervals of 7.5 nm or less.


Journal of Vacuum Science & Technology B | 2005

Traceable Calibration of Critical-Dimension Atomic Force Microscope Linewidth Measurements with Nanometer Uncertainty

Ronald G. Dixson; Richard A. Allen; William F. Guthrie; Michael W. Cresswell

The use of critical dimension atomic force microscopes (CD AFMs) in semiconductor manufacturing, both for process control and as a reference metrology tool, is increasing. If the tip width is calibrated consistently between measurements, a CD AFM can function as an excellent width comparator. Relative widths can be measured with uncertainties of 1 nm or less. However, to perform accurate measurements, the absolute tip width must be accurately calibrated. Until recently, conventional methods for accomplishing this had standard uncertainties on the order of 5 nm. Recently developed CD reference materials now make it possible to calibrate absolute tip width with uncertainties at the 1 nm level. The highlights of our method are: (1) the use of single-crystal silicon and preferential etching to pattern well-defined and highly uniform features; (2) the use of high resolution transmission electron microscopy (HRTEM) to access the Si lattice spacing directly as a source of traceable width information, and (3) the...


Measurement Science and Technology | 2011

Scanning probe microscope dimensional metrology at NIST

John A. Kramar; Ronald G. Dixson; Ndubuisi G. Orji

Scanning probe microscope (SPM) dimensional metrology efforts at the US National Institute of Standards and Technology (NIST) are reviewed in this paper. The main SPM instruments for realizing the International System of Units (SI) are the Molecular Measuring Machine, the calibrated atomic force microscope and the critical dimension atomic force microscope. These are optimized for long-distance measurements, three-dimensional measurements over conventional SPM distances and critical dimension or linewidth measurements, respectively. 10 mm distances have been measured with the relative standard uncertainty, uc, of 1.5 × 10−5; step heights at the 100 nm scale have been measured with the relative uc of 2.5 × 10−3 and sub-micrometer linewidths have been measured with uc = 0.8 nm.


Measurement Science and Technology | 2007

Higher order tip effects in traceable CD-AFM-based linewidth measurements

Ndubuisi G. Orji; Ronald G. Dixson

In critical dimension atomic force microscopy (CD-AFM), a major source of uncertainty is due to the tip. Measurements made using a CD-AFM tip show an apparent broadening of the feature width. Usually, the linewidth can be approximately corrected if the tip width is known. In addition to tip width broadening, tip-shape-dependent effects—also known as higher order tip effects—are a contributor to the uncertainty of CD-AFM linewidth measurements. These are especially important for undercut features and samples with relatively large sidewall roughness. In this paper, we examine the different types of CD-AFM higher order tip effects within the context of a reference measurement system and present a procedure for estimating some of these contributions with an uncertainty of less than 1.5 nm.


Journal of Micro-nanolithography Mems and Moems | 2007

Progress on implementation of a reference measurement system based on a critical-dimension atomic force microscope

Ndubuisi G. Orji; Ronald G. Dixson; Angela Martinez; Benjamin Bunday; John Allgair; Theodore V. Vorburger

The National Institute of Standards and Technology (NIST) and SEMATECH are working to address traceability issues in semiconductor dimensional metrology. In semiconductor manufacturing, many of the measurements made in the fab are not traceable to the SI unit of length. This is because a greater emphasis is often placed on precision and tool matching than on accuracy. Furthermore, the fast pace of development in the industry makes it difficult to introduce suitable traceable standard artifacts in a timely manner. To address this issue, NIST and SEMATECH implemented a critical-dimension atomic-force-microscope-based reference measurement system (RMS). The system is calibrated for height, pitch, and width, and has traceability to the SI definition of length in all three axes. Because the RMS is expected to function at a higher level of performance than inline tools, the level of characterization and handling of uncertain sources is on a level usually seen in instruments at national measurement institutes. In this work, we discuss recent progress in reducing the uncertainty of the instrument as well as details of a newly implemented performance monitoring system. We also present an example of how the RMS concept can be used in a semiconductor manufacturing environment.


Applied Physics Letters | 1997

Conformal oxides on Si surfaces

Vincent Wen-Chieh Tsai; X.-S. Wang; Ellen D. Williams; J Schneir; Ronald G. Dixson

The characteristics of the Si–vacuum interface were compared with the characteristics of the oxide–air interface formed following room temperature oxidation for a variety of samples. Scanning tunneling microscopy was used to measure the surface structure following vacuum preparation, and atomic force microscopy was used to measure the oxide surface on the same samples following exposure to air. Samples investigated included nominally flat Si(111) with equilibrated and quenched surface configurations, Si(111) miscut by 1.25° toward the [211] and equilibrated to yield the faceted structure, and nominally flat Si(001) wafers. In all cases, the step morphology of the clean surfaces was duplicated on the surface of the oxide.


Metrology, inspection, and process control for microlithography. Conference | 2000

Accurate dimensional metrology with atomic force microscopy

Ronald G. Dixson; Rainer G.J. Koening; Joseph Fu; Theodore V. Vorburger; Brian T. Renegar

Atomic force microscopes (AFMs) generate three dimensional images with nanometer level resolution and, consequently, are used in the semiconductor industry as tools for sub-micrometer dimensional metrology. Measurements commonly performed with AFMs are feature spacing (pitch), feature height (or depth), feature width (critical dimension), and surface roughness. To perform accurate measurements, the scales of an AFM must be calibrated. We have designed and developed the calibrated AFM (C-AFM) to calibrate physical standards for other AFMs. The C- AFM has displacement metrology for all three axes traceable to the 633 nm wavelength of the Iodine-stabilized He-Ne laser. This is accomplished through the integration of a flexure x-y translation stage, heterodyne laser interferometers, and a z- axis piezoelectric actuator with an integrated capacitance sensor. This capacitance sensor is calibrated with a third interferometer. We have performed both pitch and height measurements for external customers. Recently, we performed pitch measurements on holographic gratings as part of an ongoing international comparison driven by BIPM (Bureau International des Poids et Measures). We have also completed a preliminary design of a prototype pitch/height standard and are evaluating preliminary test samples. Additionally, we are working toward the development of linewidth standards through the comparison of C-AFM width measurements with values obtained from other methods. Our step height and linewidth measurements are in good agreement with those obtained by other methods, and we are working to improve the lateral resolution and hence the uncertainty of our probe-based linewidth measurements by studying the use of nanotubes and other types of sharp tips as linewidth probes.


Journal of Research of the National Institute of Standards and Technology | 2006

RM 8111: Development of a Prototype Linewidth Standard.

Michael W. Cresswell; William F. Guthrie; Ronald G. Dixson; Richard A. Allen; Christine E. Murabito; J. V. Martinez De Pinillos

Staffs of the Semiconductor Electronics Division, the Information Technology Laboratory, and the Precision Engineering Laboratory at NIST, have developed a new generation of prototype Single-Crystal CD (Critical Dimension) Reference (SCCDRM) Materials with the designation RM 8111. Their intended use is calibrating metrology instruments that are used in semiconductor manufacturing. Each reference material is configured as a 10 mm × 11 mm silicon test-structure chip that is mounted in a 200 mm silicon carrier wafer. The fabrication of both the chip and the carrier wafer uses the type of lattice-plane-selective etching that is commonly employed in the fabrication of micro electro-mechanical systems devices. The certified CDs of the reference features are determined from Atomic Force Microscope (AFM) measurements that are referenced to high-resolution transmission-electron microscopy images that reveal the cross-section counts of lattice planes having a pitch whose value is traceable to the SI meter.


Metrology, inspection, and process control for microlithography. Conference | 2005

CD-AFM reference metrology at NIST and SEMATECH

Ronald G. Dixson; Jing Fu; Ndubuisi G. Orji; William F. Guthrie; Richard A. Allen; Michael W. Cresswell

The National Institute of Standards and Technology (NIST) and SEMATECH have been working together to improve the traceability of critical dimension atomic force microscope (CD-AFM) dimensional metrology in semiconductor manufacturing. A major component of this collaboration has been the implementation of a Reference Measurement System (RMS) at SEMATECH using a current generation CD-AFM. An earlier tool, originally used at SEMATECH, has now been installed at NIST. Uncertainty budgets were developed for pitch, height, and CD measurements using both tools. At present, the standard uncertainties are approximately 0.2 % for pitch measurements and 0.4% for step height measurements. Prior to the current work, CD AFM linewidth measurements were limited to a standard uncertainty of about 5 nm. However, this limit can now be significantly reduced. This reduction results from the completion of the NIST/SEMATECH collaboration on the development of single crystal critical dimension reference materials (SCDDRM). A new generation of these reference materials was released to SEMATECH Member Companies during late 2004. The SEMATECH RMS was used to measure the linewidths of selected features on the distributed specimens. To reduce the uncertainty in tip width calibration, a separate transfer experiment was performed in which samples were measured by CD-AFM and then sent for high resolution transmission electron microscopy (HRTEM). In this manner, CD-AFM could be used to transfer the HRTEM width information to the distributed samples. Consequently, we are now able to reduce the limit on the standard uncertainty (k = 1) of CD-AFM width measurements to 1 nm.


Nanotechnology | 1999

Algorithms for calculating single-atom step heights

Joseph Fu; V W. Tsai; Rainer Köning; Ronald G. Dixson; Theodore V. Vorburger

Recently, our work on the measurement of Si(111) single atomic steps has prompted us to investigate the algorithm for the calculation of a one-sided step height. We compared the results of a two-point subtraction and a histogram technique under different conditions of surface tilt with respect to the measuring frame. By evaluating a simulated Si(111) atomic step, we found its calculated height could deviate from the true value as high as 2% due to a misalignment of the measuring axis and sample axis of 0.1°.

Collaboration


Dive into the Ronald G. Dixson's collaboration.

Top Co-Authors

Avatar

Ndubuisi G. Orji

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Theodore V. Vorburger

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Joseph Fu

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Richard A. Allen

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Michael W. Cresswell

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Richard M. Silver

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

William F. Guthrie

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

V W. Tsai

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Bryan M. Barnes

National Institute of Standards and Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge