Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where S. O'uchi is active.

Publication


Featured researches published by S. O'uchi.


symposium on vlsi technology | 2010

On the gate-stack origin threshold voltage variability in scaled FinFETs and multi-FinFETs

Yongxun Liu; Kazuhiko Endo; S. O'uchi; Takahiro Kamei; Junichi Tsukada; Hiromi Yamauchi; Yuki Ishikawa; Tetsuro Hayashida; K. Sakamoto; Takashi Matsukawa; Atsushi Ogura; Meishoku Masahara

The Vt variability in scaled FinFETs with gate length (Lg) down to 25 nm was systematically investigated, for the first time. By investigating the gate oxide thickness (Tox) dependence of Vt variation (VTV), the gate-stack origin, i.e., work-function variation (WFV) and gate oxide charge (Qox) variation (OCV) origin VTV were successfully separated. It was found that the atomically flat Si-fin sidewall channels fabricated by using the orientation dependent wet etching contribute to, not only the reduction of fin thickness (TSi) fluctuations, but also the reduction of gate-stack origin VTV. Moreover, it was experimentally found that the Vt of multi-FinFETs with the same gate area reduces with increasing the number of fins.


IEEE Electron Device Letters | 2007

Experimental Evaluation of Effects of Channel Doping on Characteristics of FinFETs

Kazuhiko Endo; Yuki Ishikawa; Liu Yongxum; Meishoku Masahara; Takashi Matsukawa; S. O'uchi; Kenichi Ishii; Hiromi Yamauchi; Junichi Tsukada; Eiichi Suzuki

We investigated channel doping in fin-type double-gate (DG) MOSFETs. We demonstrated through experiments that the threshold voltage was more sensitive to the dopants in the accumulation mode than in the inversion mode. We also found that significant deviation in the threshold voltage from the expected value arose in ultrathin fin-type DG MOSFETs. We attributed this phenomenon to the unexpected dopant loss from the ultrathin channels due to segregation. This finding means that careful doping adjustments must be made in ultrathin-channel devices.


international electron devices meeting | 2008

Characterization of metal-gate FinFET variability based on measurements and compact model analyses

S. O'uchi; Takashi Matsukawa; Tadashi Nakagawa; Kazuhiko Endo; Y. X. Liu; Toshihiro Sekigawa; Junichi Tsukada; Yoshie Ishikawa; Hiromi Yamauchi; Kenichi Ishii; Eiichi Suzuki; Hanpei Koike; Kunihiro Sakamoto; M. Masahara

A FinFET compact model, which provides physical representation of measurement data, was developed and was successfully applied to the characterization of sate-of-the-art metal-gate (MG) FinFETs. By combining the transistor size measurement and the model parameter calibration, the Vth variation of the MG FinFETs was analyzed into structure-based (TSi, LG) and material-based (gate work-function) variations for the first time. In addition, the extracted variations were incorporated into the compact model, and FinFET SRAM variability for hp-32-nm node was predicted.


international electron devices meeting | 2006

Advanced FinFET CMOS Technology: TiN-Gate, Fin-Height Control and Asymmetric Gate Insulator Thickness 4T-FinFETs

Yongxun Liu; Takashi Matsukawa; Kazuhiko Endo; Meishoku Masahara; Kenichi Ishii; S. O'uchi; Hiromi Yamauchi; Junichi Tsukada; Yuki Ishikawa; Eiichi Suzuki

We have successfully developed the advanced FinFET fabrication processes for materializing FinFET CMOS circuits. Using the developed technologies, we demonstrate the advanced TiN metal gate, fin-height controlled FinFET CMOS inverter with an excellent transfer performance, and the flexible threshold voltage, asymmetric gate insulator thickness four-terminal (4T) FinFET with a greatly improved subthreshold (S) slope, for the first time


IEEE Electron Device Letters | 2014

Performance Enhancement of Tunnel Field-Effect Transistors by Synthetic Electric Field Effect

Yukinori Morita; Takahiro Mori; Shinji Migita; Wataru Mizubayashi; A. Tanabe; Koichi Fukuda; Takashi Matsukawa; Kazuhiko Endo; S. O'uchi; Yong Xun Liu; Meishoku Masahara; Hiroyuki Ota

In this letter, we propose a synthetic electric field (SE) effect to enhance the performance of tunnel field-effect transistors (TFETs). The novel SE-TFET architecture utilizes both horizontal and vertical electric fields induced by a gate electrode that is wrapped around an ultrathin epitaxial channel. The drain current of the SE-TFET is increased up to 100 times in comparison with those of conventional TFETs. The subthreshold slope of the SE-TFET also improved, and enhanced to 52 mV/decade by scaling the channel width and channel thickness.


IEEE Electron Device Letters | 2009

Fluctuation Analysis of Parasitic Resistance in FinFETs With Scaled Fin Thickness

Takashi Matsukawa; Kazuhiko Endo; Yuki Ishikawa; Hiromi Yamauchi; S. O'uchi; Yongxun Liu; Junichi Tsukada; Kenichi Ishii; Kunihiro Sakamoto; Eiichi Suzuki; Meishoku Masahara

Measurement-based analysis of the parasitic resistance (<i>R</i> <sub>para</sub>) of FinFETs was extended to investigation of <i>R</i> <sub>para</sub> fluctuation, which could cause severe on-current variation. <i>R</i> <sub>para</sub> was obtained from the intercept in the linear relationship between measured on-resistance and gate length for FinFETs of various dimensions. A significant increase in <i>R</i> <sub>para</sub> is observed for fin thickness below 25 nm due to dopant loss from the ultrathin extension during processing. <i>R</i> <sub>para</sub> variation was evaluated for 45 FinFETs with an average fin thickness of 16 nm. Significant <i>R</i> <sub>para</sub> variation is observed and correlates with the variation of fin thickness.


international electron devices meeting | 2014

Experimental realization of complementary p- and n- tunnel FinFETs with subthreshold slopes of less than 60 mV/decade and very low (pA/μm) off-current on a Si CMOS platform

Yukinori Morita; Takahiro Mori; Koichi Fukuda; Wataru Mizubayashi; Shinji Migita; Takashi Matsukawa; Kazuhiko Endo; S. O'uchi; Y. X. Liu; M. Masahara; Hiroyuki Ota

Complementary (p- and n-type) tunnel FinFETs operating with subthreshold slopes (SSs) of less than 60 mV/decade and very low off-currents (on the order of a few pA/μm) have been experimentally realized on the Si CMOS platform. Improvements in the SSs have been realized by optimizing epitaxial channel growth on heavily arsenic- and boron-doped source surfaces for purging interface defects at the epitaxial tunnel junctions. By improving the interface quality, SSs of 58 and 56 mV/decade and on/off current ratios (ON/OFF) of 2 × 106 and 3 × 104 (with VD = |0.2| V) were respectively obtained for p- and n- tunnel FETs (TFETs) simultaneously.


international electron devices meeting | 2012

Suppressing V t and G m variability of FinFETs using amorphous metal gates for 14 nm and beyond

Takashi Matsukawa; Yongxun Liu; Wataru Mizubayashi; Junichi Tsukada; Hiromi Yamauchi; Kazuhiko Endo; Yuki Ishikawa; S. O'uchi; Hiroyuki Ota; Shinji Migita; Yukinori Morita; Meishoku Masahara

Amorphous TaSiN metal gates (MGs) are successfully introduced in Fiwork function variationnFETs to suppress work function variation (WFV) of the MG, which is a dominant contributor to threshold voltage (Vt) variability of the undoped channel MG FinFETs. Comparing with a poly-crystalline TiN gate, the TaSiN gate reduces Vt variation drastically and records the smallest AVt value of 1.34 mVμm reported so far for MG FinFETs. Interface traps also become a dominant AVt origin in the case of well-suppressed WFV using the amorphous M G. The WFV suppression is also effective to reduce trans-conductance (Gm) variability which will be a dominant source of on-current (Ion) variability in 14 nm technology and beyond.


IEEE Transactions on Electron Devices | 2012

Fin-Height Effect on Poly-Si/PVD-TiN Stacked-Gate FinFET Performance

Tetsuro Hayashida; Kazuhiko Endo; Yongxun Liu; S. O'uchi; Takashi Matsukawa; Wataru Mizubayashi; Shinji Migita; Yukinori Morita; Hiroyuki Ota; Hiroki Hashiguchi; Daisuke Kosemura; Takahiro Kamei; Junichi Tsukada; Yuki Ishikawa; Hiromi Yamauchi; Atsushi Ogura; Meishoku Masahara

We compared the electrical characteristics, including mobility and on -state current <i>I</i><sub>on</sub>, of n<sup>+</sup>-poly-Si/PVD-TiN stacked-gate FinFETs with different fin heights <i>H</i><sub>fin</sub>. The mobility was enhanced in devices with taller fins due to increased tensile stress. However, as gate length <i>Lg</i> decreases, <i>I</i><sub>on</sub> for devices with tall fins becomes worse, probably due to a high parasitic resistance <i>Rp</i>. Furthermore, <i>V</i><sub>th</sub> variation increased with increasing <i>H</i><sub>fin</sub> due to rough etching of the fin sidewall. Process technologies for reducing <i>Rp</i> and etching technology that yields smooth precise profiles are essential to exploit the high performance of tall FinFETs.


IEEE Electron Device Letters | 2012

Variability Origins of Parasitic Resistance in FinFETs With Silicided Source/Drain

Takashi Matsukawa; Yongxun Liu; Kazuhiko Endo; Junichi Tsukada; Yuki Ishikawa; Hiromi Yamauchi; S. O'uchi; Kunihiro Sakamoto; Meishoku Masahara

Origins of parasitic resistance <i>R</i><sub>para</sub> fluctuation were investigated by a measurement-based analysis for fin-shaped FETs (FinFETs) with NiSi in the source/drain (S/D). Fluctuation in the extension resistance <i>R</i><sub>ext</sub> reflecting fin thickness <i>T</i><sub>fin</sub> fluctuation is negligible for the sufficiently small thickness of the sidewall spacer. Although the NiSi incorporation in the S/D reduces <i>R</i><sub>para</sub> on average, it causes additional fluctuation of <i>R</i><sub>para</sub>. Analyzing the correlation of the <i>R</i><sub>para</sub> fluctuation with the fluctuation in <i>T</i><sub>fin</sub> and the lateral growth of NiSi, the dominant origin of the <i>R</i><sub>para</sub> fluctuation is specified to be the NiSi/n<sup>+</sup>-Si contact resistance.

Collaboration


Dive into the S. O'uchi's collaboration.

Top Co-Authors

Avatar

Takashi Matsukawa

National Institute of Advanced Industrial Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Kazuhiko Endo

National Institute of Advanced Industrial Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Junichi Tsukada

National Institute of Advanced Industrial Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Hiromi Yamauchi

National Institute of Advanced Industrial Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Meishoku Masahara

National Institute of Advanced Industrial Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Y. X. Liu

National Institute of Advanced Industrial Science and Technology

View shared research outputs
Top Co-Authors

Avatar

M. Masahara

National Institute of Advanced Industrial Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Yongxun Liu

National Institute of Advanced Industrial Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Shinji Migita

National Institute of Advanced Industrial Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Yukinori Morita

National Institute of Advanced Industrial Science and Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge