Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Seiji Samukawa is active.

Publication


Featured researches published by Seiji Samukawa.


Japanese Journal of Applied Physics | 2006

Ultimate Top-down Etching Processes for Future Nanoscale Devices: Advanced Neutral-Beam Etching

Seiji Samukawa

Our newly developed neutral beam (NB) etching could firstly accomplish the damage-free (defect-free and smooth surface) fabrication of high aspect rectangular Si-Fins. The fabricated FinFETs realize higher device performance (higher electron mobility) than that using a conventional reactive ion etching. The improved mobility is well explained by the atomically flatness of the neutral beam etched surfaces. Our new results strongly support the effectiveness of the NB technology for the nano-scale CMOS fabrication


Journal of Vacuum Science and Technology | 2004

50 nm gate electrode patterning using a neutral-beam etching system

Shuichi Noda; Hirotomo Nishimori; Tohru Ida; Tsunetoshi Arikado; Katsunori Ichiki; Takuya Ozaki; Seiji Samukawa

A 50-nm-width metal-oxide-semiconductor (MOS) gate etching process was established using a recently-developed neutral-beam etching system by optimizing the gas chemistry and the electrode bias condition. In a comparison with poly-Si gate etching using either SF6 or Cl2 gas chemistries, opposite etching characteristics were observed in the pattern profile. Consequently, the use of a mixture of these gases was proposed in order to achieve fine control of the etching profiles. The energy of the neutral beam was increased by applying a 600 kHz rf bias to the bottom electrode. The rf bias was very effective in increasing the etch rate and the anisotropy of the poly-Si gates, with no deterioration of the neutralization efficiency. The oxide leakage current achieved for a MOS capacitor etched by the neutral beam was one order of magnitude lower than that achieved by conventional plasma etching.


Applied Physics Letters | 2004

A 7-nm nanocolumn structure fabricated by using a ferritin iron-core mask and low-energy Cl neutral beams

T. Kubota; Tomohiro Baba; Seiji Samukawa; Hiroyuki Kawashima; Yukiharu Uraoka; Takashi Fuyuki; Ichiro Yamashita

A 7-nm silicon column structure was fabricated by using a Cl neutral beam we developed. The neutral beam achieved a high etching selectivity to a ferritin iron-core mask by using charge-free and damage-free etching processes. The silicon etching selectivity ratio to the iron core was measured to be about 59. The iron core in the ferritin was 7 nm in diameter, which was identical to that of the etched nanocolumn. This indicates that neutral-beam etching transferred the structure and size of the iron core to the silicon substrate.


Journal of Vacuum Science & Technology B | 2000

Reduction of plasma induced damage in an inductively coupled plasma using pulsed source power

Seiji Samukawa; Ko Noguchi; Jennifer I. Colonell; K. H. A. Bogart; M. V. Malyshev; Vincent M. Donnelly

Pulse-time-modulated plasmas have been proposed to overcome charging problems due to electron shading in ultralarge-scale integrated patterning. In this article, we report the effectiveness of pulse-power modulation of a commercial, inductively coupled plasma system in reducing topography-dependent charging, sensed by the reduction in the shift in threshold voltages of metal–oxide–semiconductor transistors. This plasma-induced damage during metal etching can be significantly reduced by turning the 13.56 MHz radio frequency (rf) power to the transformer-coupled coil antenna on and off, while maintaining a continuous rf bias power at 13.56 MHz. At an optimum condition of 50 μs on and 50 μs off, the severity of the device damage is reduced to nearly 1/5 that of continuous wave plasma. Thus, the use of pulse-time-modulated plasma is an effective and promising technique for suppressing topography-dependent charging during metal etching in a commercial reactor.


Journal of Vacuum Science & Technology B | 2005

Highly selective low-damage processes using advanced neutral beams for porous low-k films

Hiroto Ohtake; Nobuhiko Inoue; Takuya Ozaki; Seiji Samukawa; Eiichi Soda; Kazuaki Inukai

A highly selective and low-damage damascene process for porous methyl-silsesquioxane (porous MSQ, k-2.2) films has been realized using a neutral beam system we have developed. Use of a SF6 or CF4 neutral beam enables etching of porous MSQ with higher selectivity to the photoresist than what can be obtained in a conventional plasma. This is considered to be because the neutral beam eliminates exposure to ultraviolet (UV) light which enhances the resist etching. Anisotropic, low-dimension-shift damascene etching of porous MSQ is achieved through the neutral beam system. In addition, an O2 neutral beam reduces damage to the sidewall of porous MSQ during the resist ashing process. Also, a modified layer generated on porous MSQ during ashing using a H2 or H2∕N2 beam could prevent damage by UV light, which allows more effective resist ashing in a dual damascene structure of porous MSQ. Accordingly, this neutral beam system is a promising candidate for use in porous MSQ damascene processes.


Journal of Vacuum Science and Technology | 2004

Control of nitrogen depth profile in ultrathin oxynitride films formed by pulse-time-modulated nitrogen beams

Seiji Samukawa; Youichi Minemura; Seiichi Fukuda

Ultrathin Si oxynitride (SiOxNy) films have been identified as leading candidates to replace conventional SiO2 gate dielectrics in current and future ultralarge-scale integrated circuits. Remote plasma processes to nitridate the top surface of thermally grown oxides have been developed and employed in complementary metal–oxide–silicon device applications. However, it is very difficult to control the nitrogen depth profile in ultrathin Si oxynitride film using plasma processing and there are many serious problems, such as plasma radiation damage and increases in interface state density due to the N penetrating into the SiO2–Si interface. To overcome these problems, we propose the use of pulse-time-modulated N2 neutral beams. We first found that the nitrogen depth profile in ultrathin Si oxynitride film could be controlled by changing the pulse-on time and source power in the pulse-time-modulated N2 neutral beams. We speculated that injected N2 was diffused due to the surface activation with the energetic n...


Japanese Journal of Applied Physics | 2006

Fabrication of a Vertical-Channel Double-Gate Metal–Oxide–Semiconductor Field-Effect Transistor Using a Neutral Beam Etching

Kazuhiko Endo; Shuichi Noda; Meishoku Masahara; T. Kubota; Takuya Ozaki; Seiji Samukawa; Yongxun Liu; Kenichi Ishii; Yuki Ishikawa; Etsuro Sugimata; Takashi Matsukawa; Hidenori Takashima; Hiromi Yamauchi; Eiichi Suzuki

A vertical ultrathin-channel (UTC) formation process using a low-energy neutral beam etching (NBE) for a double-gate (DG) metal–oxide–semiconductor field-effect transistor (MOSFET) is proposed for the first time. The NBE can perfectly eliminate the charge build-up and photon radiation damages from the plasma. By utilizing the NBE, fin-type vertical MOSFETs with damage-less smooth sidewalls were successfully fabricated. The fabricated FinFETs realized higher electron mobility than that using a conventional reactive ion etching. The improved mobility is well explained by the atomically-flat surface utilizing by the NBE.


Japanese Journal of Applied Physics | 2003

Ultrathin Oxynitride Films Formed by Using Pulse-Time-Modulated Nitrogen Beams

Seiji Samukawa; Youichi Minemura; Seiichi Fukuda

Ultra thin Si oxynitride (SiOxNy) films have been identified as leading candidates to replace conventional SiO2 gate dielectrics for present and future ultra large-scale integrated circuits. Remote plasma processes for top surface nitridation of thermally grown oxides have been developed and applied in complementary metal-oxide-silicon (MOS) device applications. However, it is much difficult to control the concentration and position of nitrogen in ultrathin Si oxynitride film by using plasma processing and there are many serious problems, such as plasma radiation damage and increases in interface state density due to N penetrating the SiO2-Si interface. To overcome these problems, we propose using pulse-time-modulated N2 neutral beams. We first found that the concentration and position of nitrogen in ultrathin Si oxynitride film could be controlled by changing the pulse-on time in the pulse-time-modulated N2 neutral beams without any radiation damage. It is speculated that the injected N2 was diffused at a time constant of a few tens of µseconds in the thermal SiO2 film.


Journal of Vacuum Science & Technology B | 2005

Study of neutral-beam etching conditions for the fabrication of 7-nm-diameter nanocolumn structures using ferritin iron-core masks

T. Kubota; Tomohiro Baba; Hiroyuki Kawashima; Yukiharu Uraoka; Takashi Fuyuki; Ichiro Yamashita; Seiji Samukawa

We fabricated nanocolumn structure by using a low energy neutral beam and a ferritin iron-core mask. By using Cl2 gas plasma for generating neutral beam, we obtained a better etching profile than with SF6 gas plasma. Though Cl2:SF6=90:10 enabled faster etching than Cl2 gas without degrading the etching profile when the etching depth was 25 nm, a mixture with any quantity of SF6 gas resulted in a poor etching profile when the etching depth was 50 nm. The beam energy was optimized for the 50-nm depth using Cl2 gas by changing the rf bias power to the bottom electrode of the neutral-beam source. Using the optimum beam energy, extremely high etching selectivity of the Si to ferritin iron-core masks (about 80) as well as highly anisotropic etching profile could be realized. As a result, the diameter of the top of the Si nanocolumn structure was 7 nm, which was identical to that of the iron core in the ferritin. Additionally, the etching profile was almost vertical. We were also able to achieve a high aspect ra...


Journal of Vacuum Science & Technology B | 2005

Highly anisotropic gate electrode patterning in neutral beam etching using F2 gas chemistry

Shuichi Noda; Yasuyuki Hoshino; Takuya Ozaki; Seiji Samukawa

Pure F2 gas chemistry was evaluated in comparison with SF6 and Cl2 gas chemistries for etching poly-Si gates of metal-oxide-semiconductor field effect transistors in our neutral beam source. In the case of SF6 gas chemistry, the polycrystalline silicon (poly-Si) etch rate was high enough, whereas a large side etching was observed. In the case of Cl2 gas chemistry, the pattern profile was anisotropic, but the etch rate was very low. The tradeoff was caused by differences in the etching reactivity of F and Cl radicals with the poly-Si. Though the SF6-based neutral beam caused a large side etching due to diffused F radicals, an anisotropic profile was obtained by using the F2-based neutral beam, and the etch rate was much larger than that in the Cl2 gas chemistry. These notable characteristics were accomplished by suppressing excessive dissociation of F radicals and by generating large amount of negative F ions in a pulse-time-modulated F2 plasma in the neutral beam source.

Collaboration


Dive into the Seiji Samukawa's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Hiroyuki Kawashima

Nara Institute of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Ichiro Yamashita

Nara Institute of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Takashi Fuyuki

Nara Institute of Science and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Yukiharu Uraoka

Nara Institute of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Eiichi Suzuki

Tokyo Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Etsuro Sugimata

National Institute of Advanced Industrial Science and Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge