Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Seung Chan Moon is active.

Publication


Featured researches published by Seung Chan Moon.


Advances in resist technology and processing. Conference | 2005

Top antireflective coating process for immersion lithography

Jae Chang Jung; Sung Koo Lee; Keun Do Ban; Seo Min Kim; Cheol-Kyu Bok; Chang Moon Lim; Seung Chan Moon

To accomplish minimizing feature size to sub 60nm, new light sources for photolithography are emerging, such as F2(157nm), and EUV(13nm). However, these new lithographic technologies have many problems to be solved for real device production. In case of F2 lithography, pellicle issue makes it difficult to use of F2 source in mass production. In case of EUV, light source and mask fabrication issues must be solved for real device application. For these reasons, instead of new light sources, extension of dry ArF lithography has been studied for sub 70nm device production by using Resolution Enhancement Technology (RET) such as using high NA tools, off axis illumination, and phase shift mask. Recently, a new technology called ArF immersion lithography is emerging as a next generation lithography. The first problem of this technology is contamination issues that come from the dissolution of contaminants from the photoresist to the immersion liquid. The second is optical problem that comes from the using hyper NA system. To solve these two problems, we have developed top antireflective coating (TARC) material. This TARC material can be coated on resist without damage to the resist property. In addition to, this TARC material is easily developable by conventional 2.38 wt% TMAH solution. The reflective index of this TARC is adjusted to 1.55, so it can act as an antireflective material. To this TARC material for immersion, quencher gradient resist process (QGRP) was applied also. As a result, we could improve resolution and process margin. However, some of resists showed defects that were generated by this TARC material and QGRP. To solve this defect problem, we introduced buffer function to the TARC material. Thanks to this buffer function, we could minimize defects of resist pattern in immersion lithography.


Advances in Resist Technology and Processing XXI | 2004

Quencher gradient resist process for low k process

Jae Chang Jung; Sung Koo Lee; Won Wook Lee; Cheol Kyu Bok; Seung Chan Moon; Ki Soo Shin

To accomplish minimizing feature size to sub 70nm, new light sources for photolithography are emerging, such as F2(157nm), and EUV(13nm). However there are many problems that should be solved for real device production. So extension of ArF(193nm) is necessary until the maturity of new lithography technique will be prepared. In this paper, we tested the feasibility of quencher gradient resist process (QGRP) to low k process. To compare with normal patterning process, QGRP needs additional step, over-coating. But this over-coating material differs from the normal over-coating materials in that over-coating material of QGRP has acid quencher sources. After the exposure, these quencher materials diffuse into the photoresist and quench excess acid that causes a sloped resist profile. As a result, vertical profile pattern can be obtained with QGRP. Using this QGRP, 70nm process, of which k value is 0.27, is possible with 0.75NA ArF scanner. For contact hole pattern, we could get direct 70nm C/H with QGRP. The exposure latitude of 70nm contact hole was improved more than 50% in case of QGRP compared with normal process. In addition, QGRP is applicable for immersion lithography.


Proceedings of SPIE | 2007

Polymer Structure Modifications for Immersion Leaching Control

Sang Hyang Lee; Jung Woo Kim; Jeong Woo Kim; Seung Keun Oh; Chan Sik Park; Jung Youl Lee; Sangsoo Kim; Jae-Woo Lee; Deog-Bae Kim; Jae-Hyun Kim; Keun Do Ban; Cheol Kyu Bok; Seung Chan Moon

ArF Immersion lithography is the most promising technology for 45nm node and possibly beyond. However, serious issues in ArF immersion lithography for semiconductor mass production still exist. One of the issues is immersion specific defects, which are caused by photoresist component leaching and residual water droplets. In order to minimize immersion specific defects, preventing water penetration into the resist film is regarded as an important factor. Several research groups have reported that higher receding contact angle reduced defectivity. High receding contact angle of film surface prevent water penetration into the resist film due to the hydrophobic nature. Resist component leaching phenomenon also can be caused by the water penetration into the film, so hydrophobic resist can reduce leaching quantity. In this paper, to investigate chemical leaching from resist surface, we evaluated the leaching value of PAG anion and contact angles of various polymers according to their hydrophobicity. Hydrophilicity of a polymer was changed by the degree of hydrophobic group substitution to polymer chain. We measured receding contact angle with four different resists composed of water-repellent functiona group. Receding contact angle of resist surface increased as the portion of water-repellent functional group increased. Also, the leaching amount of PAG anion decreased as the receding contact angle of film surface increased. We expect that higher receding contact angle prevents chemical leaching from resist film by repelling water at the surface. We will report detailed results in this paper.


Optical Microlithography XVII | 2004

Diffraction analysis of customized illumination technique

Chang-Moon Lim; Seo-Min Kim; Tae-Seung Eom; Seung Chan Moon; Ki Soo Shin

Various enhancement techniques such as alternating PSM, chrome-less phase lithography, double exposure, etc. have been considered as driving forces to lead the production k1 factor towards below 0.35. Among them, a layer specific optimization of illumination mode, so-called customized illumination technique receives deep attentions from lithographers recently. A new approach for illumination customization based on diffraction spectrum analysis is suggested in this paper. Illumination pupil is divided into various diffraction domains by comparing the similarity of the confined diffraction spectrum. Singular imaging property of individual diffraction domain makes it easier to build and understand the customized illumination shape. By comparing the goodness of image in each domain, it was possible to achieve the customized shape of illumination. With the help from this technique, it was found that the layout change would not gives the change in the shape of customized illumination mode.


Advances in resist technology and processing. Conference | 2005

Studies on leaching of photoresist components by water

Seung Keun Oh; Jong Yong Kim; Young Ho Jung; Jae-Woo Lee; Deog Bae Kim; Jae-Hyun Kim; Geun Su Lee; Sung Koo Lee; Keun Do Ban; Jae Chang Jung; Cheol Kyu Bok; Seung Chan Moon

Immersion lithography has drawn tons of interests as a potential solution for sub-65nm patterning. High refractive index liquid, which is filled in the gap between exposure lens and a photoresist, can improve a resolution through increased effective numerical aperture (NA) of the exposure system. Most attractive liquid for this purpose is water. Our works were conducted as a part of the basic study for immersion lithography and aimed for the verification of leached resist components by water. It was observed that leaching relies largely on the free volume of a polymer and anion size of photoacid generator (PAG). The larger free volume and the smaller anion, the larger T-top resist profile was generated. Additionally, effects of solvents, quenchers and polarity of the polymer were investigated. Detailed results will be reported in this paper.


Advances in Resist Technology and Processing XXI | 2004

High-performance 193-nm photoresist materials based on ROMA polymers: sub-90-nm contact hole application with resist reflow

Hyun Sang Joo; Dong Chul Seo; Chang Min Kim; Young Taek Lim; Seong Duk Cho; Jong Bum Lee; Ji Young Song; Kyoung Mun Kim; Joo Hyeon Park; Jae Chang Jung; Ki Soo Shin; Cheol Kyu Bok; Seung Chan Moon

There are numerous methods being explored by lithographers to achieve the patterning of sub-90nm contact hole features. Regarding optical impact on contact imaging, various optical extension techniques such as assist features, focus drilling, phase shift masks, and off-axis illumination are being employed to improve the aerial image. One possible option for improving of the process window in contact hole patterning is resist reflow. We have already reported the resist using a ring opened polymer of maleic anhydride unit(ROMA) during the past two years in this conference. It has several good properties such as UV transmittance, PED stability, solubility and storage stability. The resist using ROMA polymer as a matrix resin showed a good lithographic performance at C/H pattern and one of the best characteristics in a ROMA polymer is the property of thermal shrinkage. It has a specific glass transition temperature(Tg) each polymers, so they made a applying of resist reflow technique to print sub-90nm C/H possible. Recently, we have researched about advanced ROMA polymer(ROMA II), which is composed of cycloolefine derivatives with existing ROMA type polymer(ROMA I), for dry etch resistance increasing, high resolution, and good thermal shrinkage property. In this paper, we will present the structure, thermal shrinkage properties, Tg control, material properties for ROMA II polymer and will show characteristics, the lithographic performance for iso and dense C/H applications of the resist using ROMA II polymer. In addition, we will discuss resist reflow data gained at C/H profile of sub-90nm sizes, which has good process window.


Advances in resist technology and processing. Conference | 2005

Simulation of thermal resist flow process

Sang-Kon Kim; Ilsin An; Hye-Keun Oh; Sun Muk Lee; Cheol-Kyu Bok; Seung Chan Moon

In the semiconductor lithography process, the thermal flow process after development resolves the patterning of sub-100 nm contact hole and saves cost problem of resolution enhancement technology. In this study, resist flowing behavior and contact hole shrinkage are described by using the thermal reflow length of the boundary movement method and the analysis of image process. The viscosity variable affects the shrinkage of critical dimension. This variable is extracted from the experimental data by using a proposed equation. Those results have a good agreement with the experimental results in both contact hole size and the vertical wall of profile according to the baking temperature and time. Although the most effective process of the 193 nm chemically amplified resist is the post-expose bake process for critical dimension, the parameter of the development process, the inhibition reaction order of the enhanced Mack model, is shown as the most controllable parameter for critical dimension in thermal reflow process.


Advances in Resist Technology and Processing XXI | 2004

Novel rinse process for reducing pattern collapse in 0.30-k1 ArF lithography

Geunsu Lee; Young Sun Hwang; Keun Do Ban; Cheol Kyu Bok; Seung Chan Moon; Ki Soo Shin

In-house rinse, HR31 has a strong point in terms of lithographic performance, defect, bubble, and metal impurity. The collapse behavior was quantified in terms of SMCD (Standing Minimum CD) in 80nm dense L/S ArF resist patterns. It contributed to enlarging process window by improving collapse (SMCD: 84→72nm), CD uniformity (12.3→9.3nm), and lithographic margin [EL (11.7→12.8%), and DOF (0.20→0.25µm)].


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Heterogeneous diffusion model for simulation of resist process

Chang Moon Lim; Jun Taek Park; Seo Min Kim; Hyeong Soo Kim; Seung Chan Moon

There have been imposed quite incompatible requirements on lithographic simulation tool for OPC, that is it should be enough accurate and enough fast. Though diffused aerial image model (DAIM) has achieved these goals successfully, rapid transition of lithography into very low k1 and sub-resolution regime makes it very difficult to meet these goals without loss of any of speed or accuracy. In this paper we suggested new modeling method of resist process which is called heterogeneous diffusion of aerial image. First, various examples of CD discrepancy between experiment and simulation with DAIM are suggested. Then the theoretical background of new model is explained and finally CD prediction performance of new model is demonstrated in 60nm 0.29k1 patterning of real DRAM devices. Improved CD prediction capability of new model is observed in various critical patterning of DRAM.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Correlation between polymer platform of ArF photoresist and defect in the track nozzle of manufacturing process line

Ji Young Song; Dong Chul Seo; Seung Duk Cho; Hyun Sang Joo; Kyoung Mun Kim; Hyun Soon Lim; Sang Jin Kim; Joo Hyeon Park; Jae Chang Jung; Sung Koo Lee; Chul Kyu Bok; Seung Chan Moon

As the minimum feature size of electronic devices continues to shrink, the industry is moving from wavelength of 248-nm KrF excimer laser sources to shorter wavelength of 193-nrn ArF excimer laser and ArF immersion to achieve required higher resolution. As minimum feature sizes are reduced, the ability to minimize defects is getting more important, because they have a close connection with yield. With the replacement of laser source, 248-nm with 193-nm, the platform of polymer was also converted from phenolic polymer into acrylic polymer. With this platform changes unexpected various defect problems had been occurred. Although KrF process causes not much of defect, ArF process causes more serious defect problems. One of those major defect source is solidification of polymer in track nozzle. The solidified polymer at track nozzle needs to be removed periodically, unless it causes significant throughput loss in mass production. The amount of this type of defect relies on physical properties of polymer platform such as hydrophilicity, solubility or structural rigidity. The hydrophilic phenol based KrF polymer shows minor defects, contrarily hydrophobic acryl based ArF polymer causes serious defects. The solidification of acrylate type polymer was caused by poor solubility. In order to improve solubility, olefinic moieties such as norbornylene, norbornyl devertives and opened maleic anhydride monomers were adopted in acrylate polymer. Those inserted olefins and opened maleic anhydride in acrylic polymer changed overall structure such as rigid helix structure into flexible structure. With the increase of solubility, particle defect was dramatically reduced. Conclusively, insertion of cycloolefin and opened maleic anhydride moiety releases rigid acrylic structure and it improves solubility. As solubility improves, crystallization at nozzle has been decreased and the particle defect is reduced. Moreover this flexible structure allows the resist reflow at the moderate temperature which is one of the resolution enhancement techniques.

Collaboration


Dive into the Seung Chan Moon's collaboration.

Researchain Logo
Decentralizing Knowledge