Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ki Soo Shin is active.

Publication


Featured researches published by Ki Soo Shin.


Advances in Resist Technology and Processing XXI | 2004

Quencher gradient resist process for low k process

Jae Chang Jung; Sung Koo Lee; Won Wook Lee; Cheol Kyu Bok; Seung Chan Moon; Ki Soo Shin

To accomplish minimizing feature size to sub 70nm, new light sources for photolithography are emerging, such as F2(157nm), and EUV(13nm). However there are many problems that should be solved for real device production. So extension of ArF(193nm) is necessary until the maturity of new lithography technique will be prepared. In this paper, we tested the feasibility of quencher gradient resist process (QGRP) to low k process. To compare with normal patterning process, QGRP needs additional step, over-coating. But this over-coating material differs from the normal over-coating materials in that over-coating material of QGRP has acid quencher sources. After the exposure, these quencher materials diffuse into the photoresist and quench excess acid that causes a sloped resist profile. As a result, vertical profile pattern can be obtained with QGRP. Using this QGRP, 70nm process, of which k value is 0.27, is possible with 0.75NA ArF scanner. For contact hole pattern, we could get direct 70nm C/H with QGRP. The exposure latitude of 70nm contact hole was improved more than 50% in case of QGRP compared with normal process. In addition, QGRP is applicable for immersion lithography.


Optical Microlithography XVII | 2004

Diffraction analysis of customized illumination technique

Chang-Moon Lim; Seo-Min Kim; Tae-Seung Eom; Seung Chan Moon; Ki Soo Shin

Various enhancement techniques such as alternating PSM, chrome-less phase lithography, double exposure, etc. have been considered as driving forces to lead the production k1 factor towards below 0.35. Among them, a layer specific optimization of illumination mode, so-called customized illumination technique receives deep attentions from lithographers recently. A new approach for illumination customization based on diffraction spectrum analysis is suggested in this paper. Illumination pupil is divided into various diffraction domains by comparing the similarity of the confined diffraction spectrum. Singular imaging property of individual diffraction domain makes it easier to build and understand the customized illumination shape. By comparing the goodness of image in each domain, it was possible to achieve the customized shape of illumination. With the help from this technique, it was found that the layout change would not gives the change in the shape of customized illumination mode.


Advances in Resist Technology and Processing XXI | 2004

High-performance 193-nm photoresist materials based on ROMA polymers: sub-90-nm contact hole application with resist reflow

Hyun Sang Joo; Dong Chul Seo; Chang Min Kim; Young Taek Lim; Seong Duk Cho; Jong Bum Lee; Ji Young Song; Kyoung Mun Kim; Joo Hyeon Park; Jae Chang Jung; Ki Soo Shin; Cheol Kyu Bok; Seung Chan Moon

There are numerous methods being explored by lithographers to achieve the patterning of sub-90nm contact hole features. Regarding optical impact on contact imaging, various optical extension techniques such as assist features, focus drilling, phase shift masks, and off-axis illumination are being employed to improve the aerial image. One possible option for improving of the process window in contact hole patterning is resist reflow. We have already reported the resist using a ring opened polymer of maleic anhydride unit(ROMA) during the past two years in this conference. It has several good properties such as UV transmittance, PED stability, solubility and storage stability. The resist using ROMA polymer as a matrix resin showed a good lithographic performance at C/H pattern and one of the best characteristics in a ROMA polymer is the property of thermal shrinkage. It has a specific glass transition temperature(Tg) each polymers, so they made a applying of resist reflow technique to print sub-90nm C/H possible. Recently, we have researched about advanced ROMA polymer(ROMA II), which is composed of cycloolefine derivatives with existing ROMA type polymer(ROMA I), for dry etch resistance increasing, high resolution, and good thermal shrinkage property. In this paper, we will present the structure, thermal shrinkage properties, Tg control, material properties for ROMA II polymer and will show characteristics, the lithographic performance for iso and dense C/H applications of the resist using ROMA II polymer. In addition, we will discuss resist reflow data gained at C/H profile of sub-90nm sizes, which has good process window.


Advances in Resist Technology and Processing XX | 2003

New approach for pattern collapse problem by increasing contact area at sub-100nm patterning

Sung-Koo Lee; Jae Chang Jung; Min Suk Lee; Sung Kwon Lee; Sam Young Kim; Young-Sun Hwang; Cheol Kyu Bok; Seung-Chan Moon; Ki Soo Shin; Sang-Jung Kim

To accomplish minimizing feature size to sub 100nm, new light sources for photolithography are emerging, such as ArF(193nm), F2(157nm), and EUV(13nm). However as the pattern size decreases to sub 100nm, a new obstacle, that is pattern collapse problem, becomes most serious bottleneck to the road for the sub 100 nm lithography. The main reason for this pattern collapse problem is capillary force that is increased as the pattern size decreases. As a result there were some trials to decrease this capillary force by changing developer or rinse materials that had low surface tension. On the other hands, there were other efforts to increase adhesion between resists and sub materials (organic BARC). In this study, we will propose a novel approach to solve pattern collapse problems by increasing contact area between sub material (organic BARC) and resist pattern. The basic concept of this approach is that if nano-scale topology is made at the sub material, the contact area between sub materials and resist will be increased. The process scheme was like this. First after coating and baking of organic BARC material, the nano-scale topology (3~10nm) was made by etching at this organic BARC material. On this nano-scale topology, resist was coated and exposed. Finally after develop, the contact area between organic BARC and resist could be increased. Though nano-scale topology was made by etching technology, this 20nm topology variation induced large substrate reflectivity of 4.2% and as a result the pattern fidelity was not so good at 100nm 1:1 island pattern. So we needed a new method to improve pattern fidelity problem. This pattern fidelity problem could be solved by introducing a sacrificial BARC layer. The process scheme was like this. First organic BARC was coated of which k value was about 0.64 and then sacrificial BARC layers was coated of which k value was about 0.18 on the organic BARC. The nano-scale topology (1~4nm) was made by etching of this sacrificial BARC layer and then as the same method mentioned above, the contact area between sacrificial layer and resist could be increased. With this introduction of sacrificial layer, the substrate reflectivity of sacrificial BARC layer was decreased enormously to 0.2% though there is 20nm topology variation of sacrificial BARC layer. With this sacrificial BARC layer, we could get 100nm 1:1 L/S pattern. With conventional process, the minimum CD where no collapse occurred, was 96.5nm. By applying this sacrificial BARC layer, the minimum CD where no collapse occurred, was 65.7nm. In conclusion, with nano-scale topology and sacrificial BARC layer, we could get very small pattern that was strong to pattern collapse issue.


Advances in Resist Technology and Processing XXI | 2004

Novel rinse process for reducing pattern collapse in 0.30-k1 ArF lithography

Geunsu Lee; Young Sun Hwang; Keun Do Ban; Cheol Kyu Bok; Seung Chan Moon; Ki Soo Shin

In-house rinse, HR31 has a strong point in terms of lithographic performance, defect, bubble, and metal impurity. The collapse behavior was quantified in terms of SMCD (Standing Minimum CD) in 80nm dense L/S ArF resist patterns. It contributed to enlarging process window by improving collapse (SMCD: 84→72nm), CD uniformity (12.3→9.3nm), and lithographic margin [EL (11.7→12.8%), and DOF (0.20→0.25µm)].


Advances in Resist Technology and Processing XX | 2003

Ring opened maleic anhydride and norbornene copolymers (ROMA) have a good character in resist flow process for 193-nm resist technology

Hyun-Sang Joo; Dong Chul Seo; Chang Min Kim; Young Taek Lim; Seong Duk Cho; Jong Bum Lee; Hyun Pyo Jeon; Joo Hyeon Park; Jae Chang Jung; Ki Soo Shin; Chul Kyu Bok; Seung-Chan Moon

We have already reported the resist using a ring opened polymer of maleic anhydride unit (ROMA). The synthesis of the ROMA polymer is as follows: 1)copolymerization of cycloolefin derivatives and maleic anhydride 2)ring opening reaction of maleic anhydride unit. 3)substitution reaction of pendant group. The ROMA Polymer has several good properties such as UV transmittance, pattern profile, PED stability and storage stability. Especially, we have been known that the resist using a ROMA polymer has a good character for application of Resist Flow Process(RFP), recently. The ROMA polymer has shown various Tg value ranging from 100°C to 170°C in accordance with substituents and substituted degree. The resist made by ROMA polymer as a matrix resin showed a good lithographic performance at direct C/H pattern. We also got a good C/H pattern profile by resist flow process at sub-100nm hole size. In this study we will discuss about it and illustrate about various Tg value of ROMA polymers and data gotten by means of resist flow process.


Optical Microlithography XVII | 2004

Feasibility studies of ArF chromeless mask (CLM) for sub-80-nm era

Tae-Seung Eom; Chang-Moon Lim; Min Gyu Sung; Seung Chan Moon; Ki Soo Shin

In this paper, we discuss feasibility of ArF chromeless mask (CLM) for sub-80nm era. Simulation and experiment are performed in terms of influence of quartz sidewall angle of CLM, process margin for 80nm DRAM cells, and mask polarity such as trench or mesa etc. Mask layouts are optimized through the use of resist patterning simulation for various critical layers of DRAM with trench and mesa type CLM, respectively. Lithography simulation is done by using in-house tool based on diffused aerial image model. SOLID-CTM is also using in order to study the influence of quartz sidewall angle and mask polarity. In the case of mask polarity, mesa type CLM is easier to make in the view of mask-making process, but in view of lithographic performance, trench type CLM is found to be better than mesa type. Quartz sidewall angle of CLM is one of the important factors for lithographic performance. The quartz sidewall angle of CLM gives severe impact on the lithographic performance. As quartz sidewall angle of CLM gets below 90 degrees, image quality, such as process window, aerial image contrast, are further degraded especially in the mesa type CLM. In addition, we also studied influence of phase error, transmittance error etc.


Optical Microlithography XVII | 2004

Double exposure to reduce overall line-width variation of 80-nm DRAM gate

Won Kwang Ma; Chang-Moon Lim; Se Young Oh; Byung-Ho Nam; Seung Chan Moon; Ki Soo Shin

As design rule shrinks down continuously, various technology have been developed to extend the resolution limits of lithography. One of those is Double Exposure Technology(DET). This paper is about not only resolution improvement but also Critical Dimension(CD) variation reduction with DET. As the design rule shrinks below 100nm, the core/peripheral area where we used to think we had sufficient margin is becoming the bottle neck for device fabrication. In this paper, in order to compare optimized single exposure (cell focus) and DET (cell, core/peripheral focus) for critical dimension uniformity(CDU) on cell and core/peripheral area, CDU was measured from wafer by use of simulation and measurement. Gate layer of DRAM device was used for the experiment. Exposure condition for the single exposure was set to crosspole and for DET, dipole and conventional respectively. Optical proximity correction(OPC) was done with in-house simulation tool on stiching area of the double exposure experiment. Same exposure tool and same process condition were used for each experiment and only the exposure condition was changed to compare local CDU, intra-field CDU, wafer CDU to find out how much CD variation can be reduced.


Advances in Resist Technology and Processing XXI | 2004

Investigation of the effect of resist components and process condition on photochemical efficiency of ArF photoresist

Jung-Woo Kim; Eun-Kyung Son; Sang-Hyang Lee; Deog-Bae Kim; Jae-Hyun Kim; Geunsu Lee; Jae Chang Jung; Cheol Kyu Bok; Seung Chan Moon; Ki Soo Shin

Sensitivity and resolution capability of photoresist depend on various parameters, such as efficiency of photoacid generation, base strength, types and concentration of protection groups on a polymer, as well as lithographic process condition. We have prepared polymers containing different protecting groups and investigated their effects on the sensitivity, and eventually, on ArF resist photolithographic behavior. Also, several different photoacid generators (PAGs) and bases were employed to study the influence of them on the resist sensitivity. We have changed process condition, especially, bake condition to discuss the role of bake temperature on the photochemical efficiency of the resist. It was found that the diffusion of the photogenerated acid and bases is the most significant factor to determine resist sensitivity than others. The detailed results will be discussed in this paper.


Advances in Resist Technology and Processing XXI | 2004

PEB sensitivity variation of 193-nm resist according to activation energy of protection groups

Seung Keun Oh; Jong Yong Kim; Jae-Woo Lee; Deog-Bae Kim; Jae-Hyun Kim; Geunsu Lee; Jae Chang Jung; Cheol Kyu Bok; Ki Soo Shin

Post exposure bake temperature sensitivity (PEB sensitivity) is getting important for below 100nm device. There are several factors affecting the PEB sensitivity including acidity and diffusion of photogenerated acid, stiffness and free volume of base polymer, and so on. Among them, the activation energy for deprotection reaction is regarded as the most critical factor. We have investigated the influence of protection group with various activation energies as well as Tg of polymer. Several different protection groups were incorporated into the polymer chain to modify activation energy of the resist. Also, we have investigated the influence of acid diffusion and quencher diffusion ability on PEB sensitivity. Three photoresists were formulated with different concentration of acid diffusion controller to asses the influence of acid diffusion on CD variation. And to evaluate the effect of quencher diffusivity on CD change, photoresist was formulated by adding amines having various different molecular size. Detailed results and new resist with reduced the PEB sensitivity will be reported in this paper.

Collaboration


Dive into the Ki Soo Shin's collaboration.

Researchain Logo
Decentralizing Knowledge