Shane R. Palmer
Texas Instruments
Network
Latest external collaboration on country level. Dive into details by clicking on the dots.
Publication
Featured researches published by Shane R. Palmer.
SPIE's 1995 Symposium on Microlithography | 1995
Rainer Pforr; Kurt G. Ronse; Luc Van den Hove; Anthony Yen; Shane R. Palmer; Gene Fuller; Oberdan W. Otto
The effectiveness of two methods of optical proximity correction based on feature biasing and subresolution assisting features is compared by simulation and experiments. Parameters examined are overlapping focus- exposure windows for dense lines, semi-isolated and isolated lines, and line-end shortening. Binary and phase-shifting masks containing test and real IC design features are proximity corrected either by commercial software (in the case of feature biasing) or by manual correction using optimized size and placement of assisting features. The results indicate that, while both methods are effective in reducing optical proximity effects, the feature-assisted method is more advantageous in many cases.
Optical Microlithography XVIII | 2005
Marylyn Hoy Bennett; Andrew Grenville; Scott Hector; Shane R. Palmer; Leonardus Leunissen; Vicky Philipsen; Theodore M. Bloomstein; Dennis E. Hardy; Mordechai Rothschild; James N. Hilfiker
Polarization dependent diffraction efficiencies in transmission through gratings on specially designed masks with pitch comparable to the wavelength were measured using an angle-resolved scatterometry apparatus with a 193 nm excimer source. Four masks - two binary, one alternating and one attenuated phase shift mask - were included in the experimental measurements. The validity of models used in present commercially available simulation packages and additional polarization effects were evaluated against the experimental scattering efficiencies.
Proceedings of SPIE | 2011
Daniel Smith; Naonori Kita; Nobumichi Kanayamaya; Ryota Matsui; Shane R. Palmer; Tomoyuki Matsuyama; Donis G. Flagello
Source Mask Optimization (SMO) is one of the most important techniques available for extending ArF immersion lithography1. However, imaging with a small k12 factor (~0.3 or smaller) is very sensitive to errors in the illumination pupil2. As a result, care must be taken to insure that the source solution from SMO can be produced by the real illuminator, which is subject to its own imaging constraints. One approach is to include an illuminator simulator in the SMO loop so that only realizable illumination pupils are considered during optimization. Furthermore, any illumination pupil predictor used in SMO should operate quickly compared to the imaging simulation if it is to avoid increasing the computational load.
Proceedings of SPIE | 2007
Emil Piscani; Shane R. Palmer; Chris K. Van Peski
The practical extendibility of immersion lithography to the 45nm half-pitch is being investigated on a 1.30NA immersion projection microstepper installed at SEMATECH North in Albany, New York. Preliminary implementation of various aperture designs and polarization configurations have been used to demonstrate imaging beyond the 90nm pitch. Optical proximity correction (OPC) and other resolution enhancement technique (RET) strategies coupled with resist stack optimization of dual-layer bottom anti-reflective coating (BARC) systems offer a growing platform of materials and illumination configurations for the 45nm node. In this demonstration of a RET strategy, linear-polarized light is selectively rotated at the coherence aperture to simultaneously image sub-90nm pitch features along the x and y axes within the same field. Scanning electron microscope (SEM) images demonstrate the capability of the immersion micro-exposure tool (iMET) to support dual-orientation imaging with resolution down to the 84nm pitch.
Advances in Resist Technology and Processing III | 1986
Kevin J. Orvek; Shane R. Palmer; Cesar M. Garza; Gene Fuller
The future use of deep UV sources such as excimer lasers in optical projection lithography will push resolution limits down to 0.5 micron and possibly even beyond. Looking ahead to deep UV lithography, questions arise as to whether any current resists can be used with deep UV exposure and whether there is any difference in resist processing between the conventional blue/near UV and deep UV lithography. Using an excimer laser source and contact printing we have undertaken an evaluation of several novolak resists and their processing for future use in 248 nm lithography. The 0.8 micron pitch features on the lx masks can be replicated using 0.5 micron thick resists. Studies of the development process using real time interferometric resist thickness measurements indicate differences in the behavior of the resists to the deep UV exposure compared to near UV exposures. For the novolak based resists the principal difference is reduced contrast due to poor light transmission and due to the presence of crosslinking reactions which lead to decreased development rates. The slower development rates become significant in terms of processing only when the novolak resists are post-exposure baked to minimize standing waves.
Electron-Beam, X-Ray, and Ion-Beam Submicrometer Lithographies for Manufacturing | 1991
Daniel L. Laird; Roxann L. Engelstad; Shane R. Palmer
Kinematically mounted x-ray lithography masks are investigated to optimize various design parameters. Given the limited error budget for x-ray mask mounting, it is essential to minimize the mechanical distortions in the exposure area. Three-dimensional finite element models of the support ring with a membrane are used to analyze the gravitational effects for both the horizontal (e-beam patterning) and vertical mounting (synchrotron exposure). In-plane and out-of-plane distortions of the membrane are computed and the nodes in the patterned area are uniquely mapped. Results of the finite element calculations show that the mask distortions can be minimized by optimizing the design of the support ring in conjunction with the holding mechanism. The actual cross section of the ring is designed in correlation with the specifications on the position of the mount. Several design rules are developed from the analyses, relating the axis or rotation of the cross section with the radial position of the mount. Results of this study offer guidelines in choosing the optimum mask parameters considering the parametric designs presented.
SPIE's 1995 Symposium on Microlithography | 1995
Sonya Yvette Shaw; Shane R. Palmer; Steven J. Schuda
Defect printability was investigated to assess the reticle defect size tolerance for 0.50 micrometers wafer lithography through the study of programmed reticle defect within line/space pairs. Improvement in the recent generation of steppers with higher numerical aperture and the drive toward design shrinks and 0.35 micrometers wafer technology has made the printability of smaller defects more important. We have studied the printability of opaque and thin flat transparent defects with reticle fabricated with both types of defects ranging in size from 0.3 to 3.0 micrometers . These defects are located on edge and between 2.5 micrometers line/space pairs. Using a 0.60 NA i-line stepper, wafers were printed with four illumination methods: standard with sigma of 0.60, quadrapole, annular, and higher coherence with sigma of 0.30 and the results were observed on a SEM. In addition, the reticle defects were characterized and the measured sizes simulated for further validate the observed results. Transparent defects larger than 0.5 micrometers showed greater printability than their opaque counterparts but had similar printability at the smaller sizes for all illumination methods. Opaque center defects had more influence on CD (critical dimension) loss than those on edge for standard and quadrapole illuminations but were comparable for annular and higher coherence illuminations. With a 10% loss of CD as the tolerance, defect sizes of 0.4 micrometers for opaque and 0.35 micrometers for transparent were determined to be the maximum acceptable for printability of 0.5 micrometers line/space at the wafer plane.
SPIE'S 1993 Symposium on Microlithography | 1993
Anthony Yen; William N. Partlo; Shane R. Palmer; Maureen A. Hanratty; Mike Tipton
We have investigated the use of annular illumination on a KrF excimer laser stepper ((lambda) equals 248 nm) working near the resolution limit of the lens. The numerical aperture of the lens was 0.48 and the illuminator-lens combination produced a partial coherence of 0.44. With a central obscuration equal to 75% of the diameter of the illuminator aperture in place and using a surface-imaging resist process, we have increased the depth of focus for 0.25 micrometers dense lines and spaces from 0.9 micrometers at one point in the imaging field to 1.5 micrometers . Performance for dense contacts was also improved. These improvements demonstrate the feasibility of 0.25 micrometers technology with deep-UV lithography.
Optical/Laser Microlithography V | 1992
Shane R. Palmer; Cesar M. Garza; Craig B. Sager; Patrick Reynolds
Phase shift technology shows promise to extend the useful resolution and focus latitude to contemporary optical steppers. If successful in application, this represents significant cost savings to the manufacturing wafer fobs provided that the steppers can be used or modified to take advantage of phase-shift techniques. In this paper we explore the limits of phase-shift lithography, particularly at i-line. We do this following a two-fold approach: a) using simulations and b) collecting experimental data using different resist processes and phase-shift techniques. We conclude that using state-of-the-art photoresist processes and phase-shift techniques, i-line optical lithography can be extended to the 0.25 ?m regime.
Optical Engineering | 1993
Cesar M. Garza; Shane R. Palmer; Jing S. Shu
Phase shift has been seen by many as a route to increase the resolution capability of optical microlithography beyond the Rayleigh criterion. The initial enthusiasm with which this technology was greeted has been moderated by the realization that prior to its practical application many technical challenges must be overcome. Nevertheless progress has been made. The question to be answered is no longer whether phase shift works, but rather which phase-shift approach and manufacturing technique provide the best practical solutions. We compare three techniques to build alternating phase-shift reticles: (1) deposited spin-on glass (SOG), (2) chemical vapor deposition (CVD) silicon dioxide, and (3) etched quartz. The merits of each approach are judged in terms of lithographic performance, ease of manufacture, and reliability. We condude that the SOG approach offers the best short-term solution to the manufacture of alternating phase-shift masks, although its lithographic performance is somewhat inferior to the other two and its long-term reliability remains to be determined. For deposited oxide to be a viable long-term approach, the oxide must be deposited under the chrome; for etched quartz, the roughness and defect density must be controlled.