Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Shariq Siddiqui is active.

Publication


Featured researches published by Shariq Siddiqui.


international electron devices meeting | 2016

A 7nm FinFET technology featuring EUV patterning and dual strained high mobility channels

R. Xie; Pietro Montanini; Kerem Akarvardar; Neeraj Tripathi; Balasubramanian S. Haran; S. Johnson; Terence B. Hook; B. Hamieh; D. Corliss; Junli Wang; X. Miao; J. Sporre; Jody A. Fronheiser; Nicolas Loubet; M. Sung; S. Sieg; Shogo Mochizuki; Christopher Prindle; Soon-Cheon Seo; Andrew M. Greene; Jeffrey Shearer; A. Labonte; S. Fan; L. Liebmann; Robin Chao; A. Arceo; Kisup Chung; K. Y. Cheon; Praneet Adusumilli; H.P. Amanapu

We present a 7nm technology with the tightest contacted poly pitch (CPP) of 44/48nm and metallization pitch of 36nm ever reported in FinFET technology. To overcome optical lithography limits, Extreme Ultraviolet Lithography (EUV) has been introduced for multiple critical levels for the first time. Dual strained channels have been also implemented to enhance mobility for high performance applications.


international interconnect technology conference | 2016

Tungsten and cobalt metallization: A material study for MOL local interconnects

Vimal Kamineni; Mark Raymond; Shariq Siddiqui; S. Tsai; C. Niu; A. Labonte; Cathy Labelle; Susan Su-Chen Fan; Brown Peethala; Praneet Adusumilli; Raghuveer Patlolla; Deepika Priyadarshini; Yann Mignot; A. Carr; S. Pancharatnam; J. Shearer; C. Surisetty; John C. Arnold; Donald F. Canaperi; Balasubramanian S. Haran; H. Jagannathan; F. Chafik; B. L'Herron

Middle-of-the-line (MOL) interconnect and contact resistances represent significant impacts to high-end IC performance at ≤ 10 nm nodes. CVD W-based metallization has been used for all nodes since the inception of damascene. However, it is now being severely challenged due to limited scaling of the traditional PVD Ti/CVD TiN barrier and ALD nucleation layers. This study reports the use of alternate barriers, along with metal-to-metal contact interface cleans, to reduce contact resistance for W-based MOL metallization. As well, we report the first use of Co metal for MOL contacts and local interconnects, with successful integration below a Cu BEOL dual damascene V0/M1 module. Metal line resistances are compared among the various options, and the challenges with each option are highlighted.


Applied Physics Letters | 2016

Nitride passivation of the interface between high-k dielectrics and SiGe

Kasra Sardashti; Kai-Ting Hu; Kechao Tang; Shailesh Madisetti; Paul C. McIntyre; S. Oktyabrsky; Shariq Siddiqui; Bhagawan Sahu; Naomi Yoshida; Jessica Kachian; Lin Dong; Bernd Fruhberger; Andrew C. Kummel

In-situ direct ammonia (NH3) plasma nitridation has been used to passivate the Al2O3/SiGe interfaces with Si nitride and oxynitride. X-ray photoelectron spectroscopy of the buried Al2O3/SiGe interface shows that NH3 plasma pre-treatment should be performed at high temperatures (300 °C) to fully prevent Ge nitride and oxynitride formation at the interface and Ge out-diffusion into the oxide. C-V and I-V spectroscopy results show a lower density of interface traps and smaller gate leakage for samples with plasma nitridation at 300 °C.


international electron devices meeting | 2016

Technology viable DC performance elements for Si/SiGe channel CMOS FinFTT

Gen Tsutsui; Ruqiang Bao; Kwan-yong Lim; Robert R. Robison; Reinaldo A. Vega; Jie Yang; Zuoguang Liu; Miaomiao Wang; Oleg Gluschenkov; Chun Wing Yeung; Koji Watanabe; Steven Bentley; Hiroaki Niimi; Derrick Liu; Huimei Zhou; Shariq Siddiqui; Hoon Kim; Rohit Galatage; Rajasekhar Venigalla; Mark Raymond; Praneet Adusumilli; Shogo Mochizuki; Thamarai S. Devarajan; Bruce Miao; B. Liu; Andrew M. Greene; Jeffrey Shearer; Pietro Montanini; Jay W. Strane; Christopher Prindle

Low Ge content SiGe-based CMOS FinFET is one of the promising technologies [1-2] offering solutions for both high performance and low power applications. In this paper, we established a competitive SiGe-based CMOS FinFET baseline and examined various elements for high performance offering. The performance elements in gate stack, channel doping, contact resistance, and junction have been explored to provide a cumulative 20% / 25% (n/pFET) performance enhancement. These elements provide a viable path towards performance enhancement for future technology nodes.


international interconnect technology conference | 2017

Electromigration and resistivity in on-chip Cu, Co and Ru damascene nanowires

C.-K. Hu; J. Kelly; J. H-C Chen; H. Huang; Y. Ostrovski; Raghuveer Patlolla; Brown Peethala; Praneet Adusumilli; Terry A. Spooner; Lynne M. Gignac; J. Bruley; C. Breslin; S. Cohen; G. Lian; M. Ali; R. Long; G. Hornicek; Terence Kane; Vimal Kamineni; Xunyuan Zhang; Shariq Siddiqui

Electromigration and resistivity of Cu, Co and Ru on-chip interconnection have been investigated. A similar resistivity size effect increase was observed in Cu, Co, and Ru. The effect of liners and cap, e.g. Ta, Co, Ru and SiCxNyHz, on Cu/interface resistivity was not found to be significant. Multilevel Cu, Co or Ru back-end-of-line interconnects were fabricated using 10 nm node technology wafer processing steps. EM in 22 nm to 88 nm wide Co lines, 24 nm wide Cu with and without a thin Co cap and 24 nm wide Ru lines were tested. These data showed that Cu with a Co cap, Co and Ru had highly reliable EM, although Ru was better than Co and Co was better Cu. The electromigration activation energies for Cu with Co cap and Co were found to be 1.5–1.6 eV and 2.1–2.7 eV, respectively.


Journal of Vacuum Science and Technology | 2015

Combined wet and dry cleaning of SiGe(001)

Sang Wook Park; Tobin Kaufman-Osborn; Hyonwoong Kim; Shariq Siddiqui; Bhagawan Sahu; Naomi Yoshida; Adam Brandt; Andrew C. Kummel

Combined wet and dry cleaning via hydrofluoric acid (HF) and atomic hydrogen on Si0.6Ge0.4(001) surface was studied at the atomic level using ultrahigh vacuum scanning tunneling microscopy (STM), scanning tunneling spectroscopy (STS), and x-ray photoelectron spectroscopy to understand the chemical transformations of the surface. Aqueous HF removes native oxide, but residual carbon and oxygen are still observed on Si0.6Ge0.4(001) due to hydrocarbon contamination from post HF exposure to ambient. The oxygen contamination can be eliminated by shielding the sample from ambient via covering the sample in the HF cleaning solution until the sample is introduced to the vacuum chamber or by transferring the sample in an inert environment; however, both processes still leave carbon contaminant. Dry in-situ atomic hydrogen cleaning above 330 °C removes the carbon contamination on the surface consistent with a thermally activated atomic hydrogen reaction with surface hydrocarbon. A postdeposition anneal at 550 °C ind...


Journal of Chemical Physics | 2017

Formation of atomically ordered and chemically selective Si—O—Ti monolayer on Si0.5Ge0.5(110) for a MIS structure via H2O2(g) functionalization

Sang Wook Park; Jong Youn Choi; Shariq Siddiqui; Bhagawan Sahu; Rohit Galatage; Naomi Yoshida; Jessica Kachian; Andrew C. Kummel

Si0.5Ge0.5(110) surfaces were passivated and functionalized using atomic H, hydrogen peroxide (H2O2), and either tetrakis(dimethylamino)titanium (TDMAT) or titanium tetrachloride (TiCl4) and studied in situ with multiple spectroscopic techniques. To passivate the dangling bonds, atomic H and H2O2(g) were utilized and scanning tunneling spectroscopy (STS) demonstrated unpinning of the surface Fermi level. The H2O2(g) could also be used to functionalize the surface for metal atomic layer deposition. After subsequent TDMAT or TiCl4 dosing followed by a post-deposition annealing, scanning tunneling microscopy demonstrated that a thermally stable and well-ordered monolayer of TiOx was deposited on Si0.5Ge0.5(110), and X-ray photoelectron spectroscopy verified that the interfaces only contained Si-O-Ti bonds and a complete absence of GeOx. STS measurements confirmed a TiOx monolayer without mid-gap and conduction band edge states, which should be an ideal ultrathin insulating layer in a metal-insulator-semiconductor structure. Regardless of the Ti precursors, the final Ti density and electronic structure were identical since the Ti bonding is limited by the high coordination of Ti to O.


advanced semiconductor manufacturing conference | 2016

Interface preservation during Ge-rich source/drain contact formation

Chengyu C. Niu; Mark Raymond; Vimal Kamineni; Jody A. Fronheiser; Shariq Siddiqui; Hiroaki Niimi; J. M. Dechene; A. Labonte; Praneet Adusumilli; A. Carr; Jeffrey Shearer; J. Demarest; L. Jiang; J. Li; R.W. Hengstebeck

Contact engineering of Ge-rich source/drain is of critical importance for the development of advanced nano-scale CMOS technology nodes. Germanosilicide or Germanide contacts with low Schottky barrier height are highly desirable to achieve low contact resistance for a Ge-rich source/drain. However, practical integration of Ge-rich SiGe into devices is complicated by its unique physical and chemical properties as compared to Si-rich epitaxial SiGe. We have observed significant erosion along the SiGe interface with its dielectric cap layer. The N2-H2 remote plasma resist strip process has been shown to trigger this erosion when GeO2 exists together with SiO2 at the interface. The integrity of Ge-rich SiGe contact interface can be preserved by replacing the N2-H2 remote plasma resist strip with an O2-based photoresist ash process. Cross-sectional STEM and EDX elemental analysis have confirmed Germanide and Germanosilicide formation at the Ge-rich SiGe contact interface.


229th ECS Meeting (May 29 - June 2, 2016) | 2016

(Invited) Rapid In-Situ Carbon and Oxygen Cleaning of In0.53Ga0.47As(001) and Si0.5Ge0.5(110) Surfaces via a H2 RF Downstream Plasma

Steven Wolf; Mary Edmonds; Ximan Jiang; R. Droopad; Naomi Yoshida; Lin Dong; Rohit Galatage; Shariq Siddiqui; Bhagawan Sahu; Andrew C. Kummel

The In0.53Ga0.47As(001) and Si0.5Ge0.5(110) surfaces were cleaned using a downstream RF plasma. On the air-exposed In0.53Ga0.47As(001) surface, a 2 second 100 millitorr H2 plasma dose fully removed carbon and oxygen. On the ex-situ wet cleaned Si0.5Ge0.5(110) surface, nearly all carbon and oxygen are removed via a 2 second exposure of 5% H2 in Ar plasma. To prevent oxygen deposition from the plasma tube while maximizing the atomic H flux, for Si0.5Ge0.5(110), the plasma power, pressure, and gas composition must be controlled. The Si0.5Ge0.5(110) surface is more sensitive than the In0.53Ga0.47As(001) surface to trace oxygen in the plasma stream consistent with the higher heat of formation per Si of SiO2 than the heat of formation per Ga of Ga2O3. The higher heat of formation of SiO2 is expected to both increase oxygen adsorption and prevent the atomic H from forming volatile products with SiO2 on Si0.5Ge0.5(110), in contrast to In0.53Ga0.47As(001).


Surface Science | 2014

Atomic imaging and modeling of passivation, functionalization, and atomic layer deposition nucleation of the SiGe(001) surface via H2O2(g) and trimethylaluminum dosing

Tobin Kaufman-Osborn; Evgueni Chagarov; Sang Wook Park; Bhagawan Sahu; Shariq Siddiqui; Andrew C. Kummel

Collaboration


Dive into the Shariq Siddiqui's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Sang Wook Park

University of California

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge