Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Balasubramanian S. Haran is active.

Publication


Featured researches published by Balasubramanian S. Haran.


symposium on vlsi technology | 2010

Ultra-thin-body and BOX (UTBB) fully depleted (FD) device integration for 22nm node and beyond

Qing Liu; Atsushi Yagishita; Nicolas Loubet; Ali Khakifirooz; Pranita Kulkarni; Toyoji Yamamoto; Kangguo Cheng; M. Fujiwara; J. Cai; D. Dorman; Sanjay Mehta; Prasanna Khare; K. Yako; Yu Zhu; S. Mignot; Sivananda K. Kanakasabapathy; S. Monfray; F. Boeuf; Charles W. Koburger; H. Sunamura; Shom Ponoth; Balasubramanian S. Haran; A. Upham; Richard Johnson; Lisa F. Edge; J. Kuss; T. Levin; N. Berliner; Effendi Leobandung; T. Skotnicki

We present UTBB devices with a gate length (L<inf>G</inf>) of 25nm and competitive drive currents. The process flow features conventional gate-first high-k/metal and raised source/drains (RSD). Back bias (V<inf>bb</inf>) enables V<inf>t</inf> modulation of more than 125mV with a V<inf>bb</inf> of 0.9V and BOX thickness of 12nm. This demonstrates the importance and viability of the UTBB structure for multi-V<inf>t</inf> and power management applications. We explore the impact of GP, BOX thickness and V<inf>bb</inf> on local V<inf>t</inf> variability for the first time. Excellent A<inf>Vt</inf> of 1.27 mV·µm is achieved. We also present simulations results that suggest UTBB has improved scalability, reduced gate leakage (I<inf>g</inf>) and lower external resistance (R<inf>ext</inf>), thanks to a thicker inversion gate dielectric (T<inf>inv</inf>) and body (T<inf>si</inf>) thickness.


symposium on vlsi technology | 2014

A 10nm platform technology for low power and high performance application featuring FINFET devices with multi workfunction gate stack on bulk and SOI

Kang-ill Seo; Balasubramanian S. Haran; Dinesh Gupta; Dechao Guo; Theodorus E. Standaert; R. Xie; H. Shang; Emre Alptekin; D.I. Bae; Geum-Jong Bae; C. Boye; H. Cai; D. Chanemougame; R. Chao; Kangguo Cheng; Jin Cho; K. Choi; B. Hamieh; J. Hong; Terence B. Hook; L. Jang; J. E. Jung; R. Jung; Duck-Hyung Lee; B. Lherron; R. Kambhampati; Bum-Suk Kim; H. Kim; Kyu-Sik Kim; T. S. Kim

A 10nm logic platform technology is presented for low power and high performance application with the tightest contacted poly pitch (CPP) of 64nm and metallization pitch of 48nm ever reported in the FinFET technology on both bulk and SOI substrate. A 0.053um2 SRAM bit-cell is reported with a corresponding Static Noise Margin (SNM) of 140mV at 0.75V. Intensive multi-patterning technology and various self-aligned processes have been developed with 193i lithography to overcome optical patterning limit. Multi-workfunction (WF) gate stack has been enabled to provide Vt tunability without the variability degradation induced by channel dopants.


international soi conference | 2010

Extremely thin SOI (ETSOI) technology: Past, present, and future

Kangguo Cheng; Ali Khakifirooz; Pranita Kulkarni; Shom Ponoth; J. Kuss; Lisa F. Edge; A. Kimball; Sivananda K. Kanakasabapathy; Stefan Schmitz; Thomas N. Adam; Hong He; Sanjay Mehta; A. Upham; Soon-Cheon Seo; J. L. Herman; Richard Johnson; Yu Zhu; P. Jamison; Balasubramanian S. Haran; Zhengmao Zhu; S. Fan; Huiming Bu; Devendra K. Sadana; P. Kozlowski; J. O'Neill; Bruce B. Doris; Ghavam G. Shahidi

As the mainstream bulk devices face formidable challenges to scale beyond 20nm node, there is an increasingly renewed interest in fully depleted devices for continued CMOS scaling. In this paper, we provide an overview of extremely thin SOI (ETSOI), a viable fully depleted device architecture for future technology. Barriers that prevented ETSOI becoming a mainstream technology in the past are specified and solutions to overcome those barriers are provided.


international electron devices meeting | 2013

High performance UTBB FDSOI devices featuring 20nm gate length for 14nm node and beyond

Qing Liu; M. Vinet; J. Gimbert; Nicolas Loubet; Romain Wacquez; L. Grenouillet; Y. Le Tiec; Ali Khakifirooz; T. Nagumo; Kangguo Cheng; H. Kothari; D. Chanemougame; F. Chafik; S. Guillaumet; J. Kuss; F. Allibert; Gen Tsutsui; J. Li; Pierre Morin; Sanjay Mehta; Richard Johnson; Lisa F. Edge; Shom Ponoth; T. Levin; Sivananda K. Kanakasabapathy; Balasubramanian S. Haran; Huiming Bu; J.-L Bataillon; O. Weber; O. Faynot

We report, for the first time, high performance Ultra-thin Body and Box (UTBB) FDSOI devices with a gate length (L<sub>G</sub>) of 20nm and BOX thickness (T<sub>BOX</sub>) of 25nm, featuring dual channel FETs (Si channel NFET and compressively strained SiGe channel PFET). Competitive effective current (I<sub>eff</sub>) reaches 630μA/μm and 670μA/μm for NFET and PFET, respectively, at off current (I<sub>off</sub>) of 100nA/μm and V<sub>dd</sub> of 0.9V. Excellent electrostatics is obtained, demonstrating the scalability of these devices to14nm and beyond. Very low A<sub>Vt</sub> (1.3mV·μm) of channel SiGe (cSiGe) PFET devices is reported for the first time. BTI was improved >20% vs a comparable bulk device and evidence of continued scalability beyond 14nm is provided.


symposium on vlsi technology | 2010

Challenges and opportunities of extremely thin SOI (ETSOI) CMOS technology for future low power and general purpose system-on-chip applications

Ali Khakifirooz; Kangguo Cheng; Pranita Kulkarni; Jin Cai; Shom Ponoth; J. Kuss; Balasubramanian S. Haran; A. Kimball; Lisa F. Edge; Thomas N. Adam; Hong He; Nicolas Loubet; Sanjay Mehta; Sivananda K. Kanakasabapathy; Stefan Schmitz; Steven J. Holmes; Basanth Jagannathan; Amlan Majumdar; Daewon Yang; A. Upham; Soon-Cheon Seo; J. L. Herman; Richard Johnson; Yu Zhu; P. Jamison; Zhengmao Zhu; L. H. Vanamurth; Johnathan E. Faltermeier; S. Fan; D. Horak

Extremely thin SOI (ETSOI) MOSFET is a viable option for future CMOS scaling owing to superior short-channel control and immunity to random dopant fluctuation. However, challenges of ETSOI integration have so far hindered its adoption for mainstream CMOS. This is especially true for low-power applications, where SOI wafer cost is deemed to significantly add to the total cost. We have recently reported a novel integration scheme to overcome some of the major ETSOI manufacturing issues such as difficulty in doping thin silicon layer, process induced silicon loss, and the dilemma of reduction of external resistance and the increase of parasitic capacitance [1, 2]. The proposed integration flow significantly simplifies device processing and leads to considerable reduction in the number of critical masks [2].


international electron devices meeting | 2016

A 7nm FinFET technology featuring EUV patterning and dual strained high mobility channels

R. Xie; Pietro Montanini; Kerem Akarvardar; Neeraj Tripathi; Balasubramanian S. Haran; S. Johnson; Terence B. Hook; B. Hamieh; D. Corliss; Junli Wang; X. Miao; J. Sporre; Jody A. Fronheiser; Nicolas Loubet; M. Sung; S. Sieg; Shogo Mochizuki; Christopher Prindle; Soon-Cheon Seo; Andrew M. Greene; Jeffrey Shearer; A. Labonte; S. Fan; L. Liebmann; Robin Chao; A. Arceo; Kisup Chung; K. Y. Cheon; Praneet Adusumilli; H.P. Amanapu

We present a 7nm technology with the tightest contacted poly pitch (CPP) of 44/48nm and metallization pitch of 36nm ever reported in FinFET technology. To overcome optical lithography limits, Extreme Ultraviolet Lithography (EUV) has been introduced for multiple critical levels for the first time. Dual strained channels have been also implemented to enhance mobility for high performance applications.


international electron devices meeting | 2014

FDSOI CMOS devices featuring dual strained channel and thin BOX extendable to the 10nm node

Qing Liu; B. DeSalvo; Pierre Morin; Nicolas Loubet; S. Pilorget; F. Chafik; S. Maitrejean; E. Augendre; D. Chanemougame; S. Guillaumet; H. Kothari; F. Allibert; B. Lherron; B. Liu; Y. Escarabajal; Kangguo Cheng; J. Kuss; Miaomiao Wang; R. Jung; S. Teehan; T. Levin; Muthumanickam Sankarapandian; Richard Johnson; J. Kanyandekwe; Hong He; Rajasekhar Venigalla; Tenko Yamashita; Balasubramanian S. Haran; L. Grenouillet; M. Vinet

We report FDSOI devices with a 20nm gate length (L<sub>G</sub>) and 5nm spacer, featuring a 20% tensile strained Silicon-on-Insulator (sSOI) channel NFET and 35% [Ge] partially compressive strained SiGe-on-Insulator (SGOI) channel PFET. This work represents the first demonstration of strain reversal of sSOI by SiGe in short channel devices. At V<sub>dd</sub> of 0.75V, competitive effective current (I<sub>eff</sub>) reaches 550/340 μA/μm for NFET, at I<sub>off</sub> of 100/1 nA/μm, respectively. With a fully strained 30% SGOI channel on thin BOX (20nm) substrate and V<sub>dd</sub> of 0.75V, PFET I<sub>eff</sub> reaches 495/260 μA/μm, at I<sub>off</sub> of 100/1 nA/μm, respectively. Competitive sub-threshold slope and DIBL are reported. With the demonstrated advanced strain techniques and short channel performance, FDSOI devices can be extended for both high performance and low power applications to the 10nm node.


international interconnect technology conference | 2007

An alternative low resistance MOL technology with electroplated rhodium as contact plugs for 32nm CMOS and beyond

I. Shao; John M. Cotte; Balasubramanian S. Haran; A.W. Topol; Eva E. Simonyi; Cyril Cabral; Hariklia Deligianni

This paper addresses a critical CMOS challenge of increasing parasitic resistance by introducing electroplated rhodium (Rh) as an alternative middle-of-line (MOL) metallurgy to replace the conventional CVD tungsten (W) processes for lower contact resistance and better extendibility to 32 nm technology and beyond. Electroplating of Rh is shown to have similar to Cu superconformal filling capability, allowing us to successfully fill high aspect ratio vias (40 nm times 240 nm). Plating of 300 mm wafers with 60 nm times 290 nm vias was demonstrated using CVD or ALD ruthenium (Ru) as the seed layer. An annealing process was developed to obtain a thin Rh film resistivity of 6.5 muOmega-cm, which is 1.5 to 3X lower than the resistivity of CVD W films. Since Rh is stable in Si environment, when compared to a fast diffusing Cu, a very thin Ti/Ru layer can be implemented. Therefore we propose to use PVD Ti/ALD Ru/electroplated Rh as the alternative MOL metallurgy. With this simple liner/seed/fill stack, the overall MOL resistance is calculated to be 2x lower than the overall MOL resistance of the conventional W stacks, and slightly lower than Cu fill stacks. In addition, the ability to use a thinner liner layer than that used for Cu-base fill process, provides a greater potential for extendibility of Rh fill into future CMOS MOL generations.


international interconnect technology conference | 2016

Tungsten and cobalt metallization: A material study for MOL local interconnects

Vimal Kamineni; Mark Raymond; Shariq Siddiqui; S. Tsai; C. Niu; A. Labonte; Cathy Labelle; Susan Su-Chen Fan; Brown Peethala; Praneet Adusumilli; Raghuveer Patlolla; Deepika Priyadarshini; Yann Mignot; A. Carr; S. Pancharatnam; J. Shearer; C. Surisetty; John C. Arnold; Donald F. Canaperi; Balasubramanian S. Haran; H. Jagannathan; F. Chafik; B. L'Herron

Middle-of-the-line (MOL) interconnect and contact resistances represent significant impacts to high-end IC performance at ≤ 10 nm nodes. CVD W-based metallization has been used for all nodes since the inception of damascene. However, it is now being severely challenged due to limited scaling of the traditional PVD Ti/CVD TiN barrier and ALD nucleation layers. This study reports the use of alternate barriers, along with metal-to-metal contact interface cleans, to reduce contact resistance for W-based MOL metallization. As well, we report the first use of Co metal for MOL contacts and local interconnects, with successful integration below a Cu BEOL dual damascene V0/M1 module. Metal line resistances are compared among the various options, and the challenges with each option are highlighted.


symposium on vlsi technology | 2014

Bottom oxidation through STI (BOTS) - A novel approach to fabricate dielectric isolated FinFETs on bulk substrates

Kangguo Cheng; Soon-Cheon Seo; Johnathan E. Faltermeier; Darsen D. Lu; Theodorus E. Standaert; I. Ok; Ali Khakifirooz; R. Vega; T. Levin; J. Li; J. Demarest; C. Surisetty; D. Song; Henry K. Utomo; R. Chao; Hong He; Anita Madan; P. DeHaven; Nancy Klymko; Zhengmao Zhu; S. Naczas; Y. Yin; J. Kuss; A. Jacob; D.I. Bae; Kang-ill Seo; Walter Kleemeier; R. Sampson; Terence B. Hook; Balasubramanian S. Haran

We report a novel approach to enable the fabrication of dielectric isolated FinFETs on bulk substrates by bottom oxidation through STI (BOTS). BOTS FinFET transistors are manufactured with 42nm fin pitch and 80nm contacted gate pitch. Competitive device performances are achieved with effective drive currents of Ieff (N/P) = 621/453 μA/μm at Ioff = 10 nA/μm at VDD = 0.8 V. The BOTS process results in a sloped fin profile at the fin bottom (fin tail). By extending the gate vertically into the fin tail region, the parasitic short-channel effects due to this fin tail have been successfully suppressed. We further demonstrate the extension of the BOTS process to the fabrication of strained SiGe FinFETs and nanowires, providing a path for future CMOS technologies.

Researchain Logo
Decentralizing Knowledge