Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Praneet Adusumilli is active.

Publication


Featured researches published by Praneet Adusumilli.


international electron devices meeting | 2016

A 7nm FinFET technology featuring EUV patterning and dual strained high mobility channels

R. Xie; Pietro Montanini; Kerem Akarvardar; Neeraj Tripathi; Balasubramanian S. Haran; S. Johnson; Terence B. Hook; B. Hamieh; D. Corliss; Junli Wang; X. Miao; J. Sporre; Jody A. Fronheiser; Nicolas Loubet; M. Sung; S. Sieg; Shogo Mochizuki; Christopher Prindle; Soon-Cheon Seo; Andrew M. Greene; Jeffrey Shearer; A. Labonte; S. Fan; L. Liebmann; Robin Chao; A. Arceo; Kisup Chung; K. Y. Cheon; Praneet Adusumilli; H.P. Amanapu

We present a 7nm technology with the tightest contacted poly pitch (CPP) of 44/48nm and metallization pitch of 36nm ever reported in FinFET technology. To overcome optical lithography limits, Extreme Ultraviolet Lithography (EUV) has been introduced for multiple critical levels for the first time. Dual strained channels have been also implemented to enhance mobility for high performance applications.


international interconnect technology conference | 2016

Tungsten and cobalt metallization: A material study for MOL local interconnects

Vimal Kamineni; Mark Raymond; Shariq Siddiqui; S. Tsai; C. Niu; A. Labonte; Cathy Labelle; Susan Su-Chen Fan; Brown Peethala; Praneet Adusumilli; Raghuveer Patlolla; Deepika Priyadarshini; Yann Mignot; A. Carr; S. Pancharatnam; J. Shearer; C. Surisetty; John C. Arnold; Donald F. Canaperi; Balasubramanian S. Haran; H. Jagannathan; F. Chafik; B. L'Herron

Middle-of-the-line (MOL) interconnect and contact resistances represent significant impacts to high-end IC performance at ≤ 10 nm nodes. CVD W-based metallization has been used for all nodes since the inception of damascene. However, it is now being severely challenged due to limited scaling of the traditional PVD Ti/CVD TiN barrier and ALD nucleation layers. This study reports the use of alternate barriers, along with metal-to-metal contact interface cleans, to reduce contact resistance for W-based MOL metallization. As well, we report the first use of Co metal for MOL contacts and local interconnects, with successful integration below a Cu BEOL dual damascene V0/M1 module. Metal line resistances are compared among the various options, and the challenges with each option are highlighted.


international interconnect technology conference | 2016

Experimental study of nanoscale Co damascene BEOL interconnect structures

J. Kelly; James Chen; H. Huang; C.-K. Hu; E. Liniger; Raghuveer Patlolla; Brown Peethala; Praneet Adusumilli; Hosadurga Shobha; Takeshi Nogami; Terry A. Spooner; Elbert E. Huang; Daniel C. Edelstein; Donald F. Canaperi; Vimal Kamineni; S. Siddiqui

We characterize integrated dual damascene Co and Cu BEOL lines and vias, at 10 nm node dimensions. The Co to Cu line resistance ratios for 24 nm and 220 nm wide lines were 2.1 and 3.5, respectively. The Co via resistance was just 1.7 times that of Cu, with the smaller ratio attributed to the barrier layer series via resistance. Electrical continuity of Co via chain structures was good, while some chain-chain shorts and leakage suggests metal residuals from the Co polish process. The Co lines and vias, fabricated using conventional BEOL processes, exhibit good Co fill by TEM, with no visible evidence of Co in the dielectric. The relatively smaller resistance increase for Co vias suggests a potential via resistance benefit, a thinner or less resistive barrier can be employed. Co line resistance will likely not be competitive with Cu until after the next technology node.


symposium on vlsi technology | 2016

Ti and NiPt/Ti liner silicide contacts for advanced technologies

Praneet Adusumilli; Emre Alptekin; Mark Raymond; Nicolas L. Breil; F. Chafik; Christian Lavoie; D. Ferrer; S. Jain; V. Kamineni; Ahmet S. Ozcan; S. Allen; J. J. An; V. S. Basker; R. Bolam; Huiming Bu; Jin Cai; J. Demarest; Bruce B. Doris; E. Engbrecht; S. Fan; J. Fronheiser; Oleg Gluschenkov; Dechao Guo; B. Haran; D. Hilscher; Hemanth Jagannathan; D. Kang; Y. Ke; J. Kim; Siyuranga O. Koswatta

We discuss the transition to Ti based silicides for source-drain (SD) contacts for 3D FinFET devices starting from the 14nm node & beyond. Reductions in n-FET & p-FET contact resistances are reported with the optimization of metallization process & dopant concentrations. The optimization of SiGe epitaxy and addition of a thin interfacial NiPt(10%) are found to significantly improve p-FET contact performance.


international conference on simulation of semiconductor processes and devices | 2015

Specific contact resistivity of n-type Si and Ge M-S and M-I-S contacts

Jiseok Kim; Phillip J. Oldiges; Hui-feng Li; Hiroaki Niimi; Mark Raymond; Peter Zeitzoff; Vimal Kamineni; Praneet Adusumilli; Chengyu Niu; F. Chafik

We have theoretically investigated the specific contact resistivity of n-type Si and Ge metal-insulator-semiconductor contacts with various insulating oxides. We have found a significant reduction of the contact resistivity for both Si and Ge with an insertion of insulators at low and moderate donor doping levels. However, at the higher doping levels (>1020 cmu-3), the reduction of the contact resistivity is negligible and the contact resistivity increases as the insulator thickness increase. Thus, we have shown that the lowest possible contact resistivity can be achieved with the metal-semiconductor contact with highest possible activated doping density.


international electron devices meeting | 2016

FinFET performance with Si:P and Ge:Group-III-Metal metastable contact trench alloys

Oleg Gluschenkov; Zuoguang Liu; Hiroaki Niimi; Shogo Mochizuki; Jody A. Fronheiser; X. Miao; J. Li; J. Demarest; Chen Zhang; Chengyu Niu; B. Liu; A. Petrescu; Praneet Adusumilli; Jie Yang; Hemanth Jagannathan; Huiming Bu; Tenko Yamashita

We achieved mid-10<sup>−10</sup> Ω-cm<sup>2</sup> n-type S/D contact resistivity (npc) and 1.9×10<sup>−9</sup> Ω-cm<sup>2</sup> p-type S/D contact resistivity (ppc) by employing laser-induced liquid or solid phase epitaxy (LPE/SPE) of Si:P and Ge:Group-III-Metal metastable alloys inside nano-scale contact trenches. The Ge: Group-III-Metal alloy allows for a metal-Ge Fermi level pinning effect to lower Schottky barrier height (SBH) while reducing both bulk and unipolar heterojunction resistances. Correspondingly, large Ron reduction and Id gain have been realized in scaled n- and p-FinFETs with the contact length of less than 20nm.


international electron devices meeting | 2016

Technology viable DC performance elements for Si/SiGe channel CMOS FinFTT

Gen Tsutsui; Ruqiang Bao; Kwan-yong Lim; Robert R. Robison; Reinaldo A. Vega; Jie Yang; Zuoguang Liu; Miaomiao Wang; Oleg Gluschenkov; Chun Wing Yeung; Koji Watanabe; Steven Bentley; Hiroaki Niimi; Derrick Liu; Huimei Zhou; Shariq Siddiqui; Hoon Kim; Rohit Galatage; Rajasekhar Venigalla; Mark Raymond; Praneet Adusumilli; Shogo Mochizuki; Thamarai S. Devarajan; Bruce Miao; B. Liu; Andrew M. Greene; Jeffrey Shearer; Pietro Montanini; Jay W. Strane; Christopher Prindle

Low Ge content SiGe-based CMOS FinFET is one of the promising technologies [1-2] offering solutions for both high performance and low power applications. In this paper, we established a competitive SiGe-based CMOS FinFET baseline and examined various elements for high performance offering. The performance elements in gate stack, channel doping, contact resistance, and junction have been explored to provide a cumulative 20% / 25% (n/pFET) performance enhancement. These elements provide a viable path towards performance enhancement for future technology nodes.


international interconnect technology conference | 2017

Electromigration and resistivity in on-chip Cu, Co and Ru damascene nanowires

C.-K. Hu; J. Kelly; J. H-C Chen; H. Huang; Y. Ostrovski; Raghuveer Patlolla; Brown Peethala; Praneet Adusumilli; Terry A. Spooner; Lynne M. Gignac; J. Bruley; C. Breslin; S. Cohen; G. Lian; M. Ali; R. Long; G. Hornicek; Terence Kane; Vimal Kamineni; Xunyuan Zhang; Shariq Siddiqui

Electromigration and resistivity of Cu, Co and Ru on-chip interconnection have been investigated. A similar resistivity size effect increase was observed in Cu, Co, and Ru. The effect of liners and cap, e.g. Ta, Co, Ru and SiCxNyHz, on Cu/interface resistivity was not found to be significant. Multilevel Cu, Co or Ru back-end-of-line interconnects were fabricated using 10 nm node technology wafer processing steps. EM in 22 nm to 88 nm wide Co lines, 24 nm wide Cu with and without a thin Co cap and 24 nm wide Ru lines were tested. These data showed that Cu with a Co cap, Co and Ru had highly reliable EM, although Ru was better than Co and Co was better Cu. The electromigration activation energies for Cu with Co cap and Co were found to be 1.5–1.6 eV and 2.1–2.7 eV, respectively.


symposium on vlsi technology | 2017

Dual beam laser annealing for contact resistance reduction and its impact on VLSI integrated circuit variability

Zuoguang Liu; Oleg Gluschenkov; Hiroaki Niimi; B. Liu; Juntao Li; J. Demarest; Shogo Mochizuki; Praneet Adusumilli; Mark Raymond; A. Carr; Shaoyin Chen; Yun Wang; Hemanth Jagannathan; Tenko Yamashita

Introduction of a dual beam (DB) millisecond (mSec) or nanosecond (nSec laser annealing in contact module results in a drastic reduction of contact resistivity. Dependence of this benefit on laser annealing parameters is detailed. The annealing power/temperature condition needed for initiating solid or liquid phase epitaxy (SPE, LPE defines a lower process boundary, while impact of laser annealing on transistor parameters, such as Vt and gate stack, defines an upper process boundary and translates to with-in-die (WID Vt variation. Combining DB laser annealing technique with process-friendly layouts enables contact resistance benefit without degrading product level variability.


international interconnect technology conference | 2016

Formation and microstructure of thin Ti silicide films for advanced technologies

Praneet Adusumilli; A. Carr; Ahmet S. Ozcan; Christian Lavoie; Jean Jordan-Sweet; D. Prater; Nicolas L. Breil; S. Polvino; Mark Raymond; D. Deniz; Vimal Kamineni

We report on the solid-state reaction of thin PVD Ti films with in-situ doped Si & SiGe alloys using a combination of in-situ x-ray diffraction, sheet resistance, laser light scattering measurements and ex-situ x-ray pole figure analysis. Thin Ti films or thin bilayer films (Ni/Ti or NiPt/Ti) are found to be much more aligned with the underlying substrates. Millisecond laser anneals also lead to the introduction of strong in-plane texture.

Collaboration


Dive into the Praneet Adusumilli's collaboration.

Researchain Logo
Decentralizing Knowledge