Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Shengcheng Wang is active.

Publication


Featured researches published by Shengcheng Wang.


design, automation, and test in europe | 2014

P/G TSV planning for IR-drop reduction in 3D-ICs

Shengcheng Wang; Farshad Firouzi; Fabian Oboril; Mehdi Baradaran Tahoori

In recent years, interconnect issues emerged as major performance challenges for Two-Dimensional-Integrated-Circuits (2D-ICs). In this context, Three-Dimensional-ICs (3D-ICs), which consist of several active layers stacked above each other, offer a very attractive alternative to conventional 2D-ICs. However, 3D-ICs also face many challenges associated with the Power Distribution Network (PDN) design due to the increasing power density and larger supply current compared to 2D-ICs. As an important part of 3D-IC PDNs, Power/Ground (P/G) Through-Silicon-Vias (TSVs) should be well-managed. Excessive or ill-placed P/G TSVs impact the power integrity (e.g. IR-drop), and also consume a considerable amount of chip real estate. In this work, we propose a Mixed-Integer-Linear-Programming (MILP)-based technique to plan the P/G TSVs. The goal of our approach is to minimize the average IR-drop while satisfying the total area constraint of TSVs by optimizing the P/G TSV placement. Therefore, the locations, sizes and the total number of the P/G TSVs are co-optimized simultaneously. The experimental results show that the average IR-drop can be reduced by 11.8 % in average using the proposed method compared to a random placement technique with a much smaller runtime.


design, automation, and test in europe | 2016

Thermal-aware TSV repair for electromigration in 3D ICs

Shengcheng Wang; Mehdi Baradaran Tahoori; Krishnendu Chakrabarty

Electromigration (EM) occurrence on through-silicon-vias (TSVs) is a major reliability concern for Three-Dimensional Integrated-Circuits (3D ICs), and EM can severely reduce the mean-time-to-failure (MTTF). In this work, a novel fault tolerant technique is proposed to increase the MTTF of the functional TSV network through the assignment of spare TSVs to EM-vulnerable functional TSVs. The objective is to meet the target MTTF with minimum spare TSVs and minimal impact on the circuit timing. By considering the impact of temperature variation, the proposed technique provides a more robust repair solution for EM-induced TSV defects with minimum delay overhead, compared to previous thermal-unaware methods.


IEEE Transactions on Very Large Scale Integration Systems | 2017

Electromigration-Aware Local-Via Allocation in Power/Ground TSVs of 3-D ICs

Shengcheng Wang; Mehdi Baradaran Tahoori

With increasing temperature and current density, electromigration (EM) becomes a major interconnect reliability concern for 3-D integrated-circuits (3-D ICs). In 3-D power delivery networks, local vias are used inside power/ground (P/G) through-silicon-vias (TSVs) for vertical power delivery, which are susceptible to EM effects. In order to improve the EM reliability of P/G TSVs, it is desirable to insert multiple local vias in each P/G TSV whereby the current density of each local via can be reduced. However, excessive local vias may consume too much routing area, which leads to exacerbated routing congestion and increased delay overhead. In this paper, we propose a design technique to handle this tradeoff between EM reliability of P/G TSVs and timing performance of 3-D ICs. By utilizing an integer-linear-programming formulation, the optimal local-via number in each P/G TSV can be determined to minimize the local via-induced routing congestion while satisfying the given requirement of EM reliability.


international conference on computer aided design | 2015

Defect Clustering-Aware Spare-TSV Allocation for 3D ICs

Shengcheng Wang; Mehdi Baradaran Tahoori; Krishnendu Chakrabarty

The manufacturing yield challenge of three-dimensional integrated circuit (3D ICs) is one of the key obstacles in the industry adoption of 3D integration based on through-silicon-vias (TSVs). The addition of spare TSVs to repair faulty functional TSVs is an effective method for yield and reliability enhancement, but this approach results in significant hardware cost and delay overhead. Most existing solutions are only suitable for a “dual-uniform” scenario in which both the placement and the defect probabilities of functional TSVs are assumed to be uniform. In this paper, we propose a design technique that is compatible with non-uniform TSV placement and it can repair faulty TSVs based on a realistic clustered defect-distribution model. The proposed solution is based on two consecutive stages, which utilize a greedy algorithm and an integer-linear-programming formulation, respectively. By considering the trade-off between chip yield, hardware cost, and delay overhead, the proposed technique provides higher yield and reliability under a clustered defect distribution, and with minimum hardware cost and delay overhead, compared to the previous work.


asia and south pacific design automation conference | 2015

Stress-aware P/G TSV planning in 3D-ICs

Shengcheng Wang; Farshad Firouzi; Fabian Oboril; Mehdi Baradaran Tahoori

Power/Ground (P/G) Through-Silicon-Vias (TSVs) in the Power Distribution Network (PDN) of Three-Dimensional-Integrated-Circuit (3D-IC) have a twofold impact on the delays of the surrounding gates. TSV fabrication causes thermal stress around TSVs, which results in significant carrier mobility variations in their vicinity. On the other hand, the insertion of P/G TSVs will change the voltage of each node in the power grid, which also impacts the delays of the connected gates. Thus, it is necessary to consider the combined effect on delay variation during the P/G TSV planning. In this work, we propose a methodology using Mixed-Integer-Bilinear-Programming (MIBLP) to optimize this delay variation by a refined P/G TSV allocation. Taking into account the impact of thermal stress as well as voltage drop on the circuit delay, we optimally plan the P/G TSVs to minimize the circuit delay for different keep-out zones (KOZs) and PDN pitches.


IEEE Transactions on Very Large Scale Integration Systems | 2018

Recovery-Aware Proactive TSV Repair for Electromigration Lifetime Enhancement in 3-D ICs

Shengcheng Wang; Taeyoung Kim; Zeyu Sun; Sheldon X.-D. Tan; Mehdi Baradaran Tahoori

Electromigration (EM) becomes a major reliability concern in 3-D integrated circuits (3-D ICs). To mitigate this problem, a typical solution is to use through-silicon via (TSV) redundancy in a reactive manner, maintaining the operability of a 3-D chip in the presence of EM failures by detecting and replacing faulty TSVs with spares. In this paper, we explore an alternative, more preferred approach to enhance the EM-related lifetime reliability of TSV grid, in which redundancy is used proactively to allow nonfaulty TSVs to be temporarily deactivated. In this way, EM wear-out can be extended by exploiting its recovery property. The proposed solution is based on two consecutive stages, in which TSV redundancy allocation and TSV repair are finalized at both design-time and runtime, respectively. Applied to 3-D benchmark designs, the recovery-aware proactive repair approach increases EM-related lifetime reliability (measured in mean-time-to-failure) of the entire TSV grid by up to


ACM Transactions on Design Automation of Electronic Systems | 2018

Multicast Testing of Interposer-Based 2.5D ICs: Test-Architecture Design and Test Scheduling

Shengcheng Wang; Ran Wang; Krishnendu Chakrabarty; Mehdi Baradaran Tahoori

12\times


design, automation, and test in europe | 2017

Recovery-aware proactive TSV repair for electromigration in 3D ICs

Shengcheng Wang; Hengyang Zhao; Sheldon X.-D. Tan; Mehdi Baradaran Tahoori

relative to the conventional reactive method, with similar area overhead. In addition, a runtime dynamic recovery approach is proposed to further improve EM-related lifetime reliability to account for stress variation across different chips and over the operational lifetime.


asian test symposium | 2016

Multicast Test Architecture and Test Scheduling for Interposer-Based 2.5D ICs

Shengcheng Wang; Ran Wang; Krishnendu Chakrabarty; Mehdi Baradaran Tahoori

Interposer-based 2.5D integrated circuits (ICs) are seen today as a precursor to 3D ICs based on through-silicon vias (TSVs). All the dies in a 2.5D IC must be adequately tested for product qualification. However, due to the limited number of package pins, it is a major challenge to test 2.5D ICs using conventional methods. Moreover, due to higher integration levels, test-application time and test power consumption for 2.5D ICs are also increased compared to their 2D counterparts. Therefore, it is imperative to take these issues into account during 2.5D IC testing. In this article, we present an efficient multicast test architecture for targeting defects in dies, in which multiple dies can be tested simultaneously to reduce the test-application time under constraints on test power and fault coverage. We also propose a test scheduling and optimization technique that can be utilized with the multicast test architecture. By considering the trade-off between test-application time, test-power budget, and test quality, the proposed technique provides test schedules with minimum test-application time under constraints on power consumption and fault coverage. Compared to previous work, the proposed technique can reduce test-application time by up to 53.4 for benchmark designs while achieving higher fault coverage. Since the loss in fault coverage due to multicast testing is extremely small, we can use top-off patterns to achieve full fault coverage for the dies at negligible additional cost.


international conference on ic design and technology | 2015

Deadspace-aware Power/Ground TSV planning in 3D floorplanning

Shengcheng Wang; Farshad Firouzi; Fabian Oboril; Mehdi Baradaran Tahoori

Electromigration (EM) becomes a major reliability concern in three-dimensional integrated-circuits (3D ICs). To mitigate this problem, a typical solution is to use TSV redundancy in a reactive manner, maintaining the operability of a 3D chip in the presence of EM failures by detecting and replacing faulty TSVs with spares. In this work, we explore an alternative, more preferred approach to enhance the EM-related lifetime reliability of TSV grid, in which redundancy is used proactively to allow non-faulty TSVs to be temporarily deactivated. In this way, EM wear-out can be reversed by exploiting its recovery property. Applied to 3D benchmark designs, the recovery-aware proactive repair approach increases EM-related lifetime reliability (measured in mean-time-to-failure) of the entire TSV grid by up to 12X relative to the conventional reactive method, with less area overhead.

Collaboration


Dive into the Shengcheng Wang's collaboration.

Top Co-Authors

Avatar

Mehdi Baradaran Tahoori

Karlsruhe Institute of Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Fabian Oboril

Karlsruhe Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Farshad Firouzi

Karlsruhe Institute of Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Zeyu Sun

University of California

View shared research outputs
Top Co-Authors

Avatar

Hengyang Zhao

University of California

View shared research outputs
Top Co-Authors

Avatar

Taeyoung Kim

University of California

View shared research outputs
Top Co-Authors

Avatar

Yuan Cheng

Shanghai Jiao Tong University

View shared research outputs
Researchain Logo
Decentralizing Knowledge