Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Shinji Kishimura is active.

Publication


Featured researches published by Shinji Kishimura.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Immersion specific defect mechanisms : Findings and recommendations for their control

Michael Kocsis; Dieter Van den Heuvel; Roel Gronheid; Mireille Maenhoudt; Dizana Vangoidsenhoven; Greg Wells; Nickolay Stepanenko; Michael Benndorf; Hyun-woo Kim; Shinji Kishimura; Monique Ercken; Frieda Van Roey; S. O'Brien; Wim Fyen; Philippe Foubert; Richard Moerman; Bob Streefkerk

Defectivity has been one of the largest unknowns in immersion lithography. It is critical to understand if there are any immersion specific defect modes, and if so, what their underlying mechanisms are. Through this understanding, any identified defect modes can be reduced or eliminated to help advance immersion lithography to high yield manufacturing. Since February 2005, an ASML XT:1250Di immersion scanner has been operational at IMEC. A joint program was established to understand immersion defectivity by bringing together expertise from IMEC, ASML, resist vendors, IC manufactures, TEL, and KLA-Tencor. This paper will cover the results from these efforts. The new immersion specific defect modes that will be discussed are air bubbles in the immersion fluid, water marks, wafer edge film peeling, and particle transport. As part of the effort to understand the parameters that drive these defects, IMEC has also developed novel techniques for characterizing resist leaching and water uptake. The findings of our investigations into each immersion specific defect mechanism and their influencing factors will be given in this paper, and an attempt will be made to provide recommendations for a process space to operate in to limit these defects.


Advances in Resist Technology and Processing XXI | 2004

Resist interaction in 193-/157-nm immersion lithography

Shinji Kishimura; Masayuki Endo; Masaru Sasago

We have investigated the interaction of resists with water and perfluoropolyether (PFPE) as immersion fluids. We found that some unique behaviors occurred in immersion lithography. An acetal protected poly(p-hydroxystyrene) type resist in water immersion showed decreased resist thickness after exposure. The deprotection reaction during exposure appeared to be accelerated by water. A COMA (cycloolefine-mareic anhydride alt-copolymer) type resist in water immersion showed an increased dissolution rate. FT-IR measurements indicated that the hydrolysis of maleic anhydride occurred during exposure and post-exposure baking. A reduction in the dissolution rate was observed in the immersion lithography of most resists. In water immersion, the formation of a surface insoluble layer and swelling was observed. We confirmed that a photochemical acid generator (PAG) or generated acid eluted into the water by TOF-SIMS. In PFPE immersion, we think that PFPE penetrating across the resist film blocks the penetration of the alkaline aqueous developer.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Fine pattern replication on 10 x 10-mm exposure area using ETS-1 laboratory tool in HIT

K. Hamamoto; Takeo Watanabe; Hideo Hada; Hiroshi Komano; Shinji Kishimura; Shinji Okazaki; Hiroo Kinoshita

Utilizing ETS-1 laboratory tool in Himeji Institute of Technology (HIT), as for the fine pattern replicated by using the Cr mask in static exposure, it is replicated in the exposure area of 10 mm by 2 mm in size that the line and space pattern width of 60 nm, the isolated line pattern width of 40 nm, and hole pattern width of 150 nm. According to the synchronous scanning of the mass and wafer with EUVL laboratory tool with reduction optical system which consisted of three-aspherical-mirror in the NewSUBARU facilities succeeded in the line of 60 nm and the space pattern formation in the exposure region of 10mm by 10mm. From the result of exposure characteristics for positive- tone resist for KrF and EB, KrF chemically amplified resist has better characteristics than EB chemically amplified resist.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Top coat or no top coat for immersion lithography

Nickolay Stepanenko; Hyun-woo Kim; Shinji Kishimura; D. Van den Heuvel; Nadia Vandenbroeck; Michael Kocsis; Philippe Foubert; Mireille Maenhoudt; Monique Ercken; F. Van Roey; Roel Gronheid; Ivan Pollentier; Diziana Vangoidsenhoven; Christie Delvaux; C. Baerts; S. O'Brien; Wim Fyen; Greg Wells

Since the moment immersion lithography appeared in the roadmaps of IC manufacturers, the question whether to use top coats has become one of the important topics for discussions. The top coats used in immersion lithography have proved to serve as good protectors from leaching of the resist components (PAGs, bases) into the water. However their application complicates the process and may lead to two side effects. First, top coats can affect the process window and resist profile depending on the materials refractive index, thickness, acidity, chemical interaction with the resist and the soaking time. Second, the top coat application may increase the total amount of defects on the wafer. Having an immersion resist which could work without the top coat would be a preferable solution. Still, it is quite challenging to make such a resist as direct water/resist interaction may also result in process window changes, CD variations, generation of additional defects. We have performed a systematic evaluation of a large number of immersion resist and top coat combinations, using the ASML XT:1250Di scanner at IMEC. The samples for the experiments were provided by all the leading resist and top coat suppliers. Particular attention was paid to how the resist and top coat materials from different vendors interacted with each other. Among the factors which could influence the total amount of defects or CD variations on the wafer were: the materials dynamic contact angle and its interaction with the scanner stage speed, top coat thickness and intermixing layer formation, water uptake and leaching. We have examined the importance of all mentioned factors, using such analytical techniques as Resist Development Analyser (RDA), Quartz Crystal Microbalance (QCM), Mass Spectroscopy (MS) and scatterometry. We have also evaluated the influence of the pre- and pos- exposure rinse processes on the defectivity. In this paper we will present the data on imaging and defectivity performance of the resists with and without the use of top coats. So far we can conclude that top coat/resist approach used in immersion lithography needs some more improvements (i.e. process, materials properties) in order to be implemented in high volume manufacturing.


SPIE's 27th Annual International Symposium on Microlithography | 2002

New 157-nm resist platform based on etching model for fluoropolymers

Shinji Kishimura; Masayuki Endo; Masaru Sasago

We designed platforms for a 157-nm resist polymer that have both high transparency and high etch resistance. We measured the etching rate of various fluoropolymers under the conditions of poly etch and oxide etch processes. Most of the fluoropolymers showed good correlation with an etching rate ratio and a value of (NC-NO-NF) / NT (NT, NC, NO, and NF was the number of total atoms, carbon, oxygen, and fluorine atom in a monomer unit, respectively). This index, (NC-NO-NF) / NT was named IERF (index for etch resistance of fluoropolymers). It became easy to design a fluoropolymer that has high etch resistance using this index. Moreover, the acrylic polymer that has a particular kind of fluorinated alicyclic compound in its side chain was not in the above-mentioned correlation, however, it was found to have higher etch resistance. Base upon these results, two platforms were built, one of the copolymer type with polyacrylate (PA) and polystyrene (PS), the other of the copolymer type with PA and polycycloolefin (PC). Resists belonging to the two platforms were made and a high resolution of 85 nm or less was obtained by using the Microstepper (NA0.6, (sigma) 0.3, alternating phase shift mask). These resists showed a slightly higher etch resistance as compared with a COMA (cycloolefin-maleic anhydride) type resist with comparatively high etch resistance even during the ArF resist.


Proceedings of SPIE | 2007

Measurement and evaluation of water uptake by resists, top coats, stacks, and correlation with watermark defects

Philippe Foubert; Michael Kocsis; Roel Gronheid; Shinji Kishimura; Akimasa Soyano; Kathleen Nafus; Nickolay Stepanenko; Johan De Backer; Nadia Vandenbroeck; Monique Ercken

With immersion lithography approaching the insertion in production, watermarks remain as one of the main concerns for immersion specific defects. They require special attention because of their size and associated high kill-ratio, and their increasing occurrence at higher scan speeds. IMEC has been working to understand the underlying mechanism of why remaining water droplets cause these defects. This work focuses on water uptake measurements and how this parameter correlates to watermark defectivity. Ellipsometric Porosimetry (EP) is used to measure the water uptake tendencies of resist and top coat materials and stacks thereof, and investigate what parameters are affecting it. The influence of material and process parameters and the presence of a top coat on water uptake by the resist are evaluated. In parallel, the quartz crystal microbalance (QCM) technique has been used as an alternative option to measure the water uptake. Though a one-to-one comparison between the results is not straightforward, the main trends are identical for both techniques. No perfect correlation of watermark defectivity with water uptake has been found in this study. Nevertheless, the results show a tendency towards higher watermark sensitivity with higher water uptake by the film. It is recognized that the total watermark defectivity is most probably a complex interplay of different parameters with water uptake being only one of them.


Advances in resist technology and processing. Conference | 2005

Impact of water and top-coats on lithographic performance in 193-nm immersion lithography

Shinji Kishimura; Roel Gronheid; Monique Ercken; Mireille Maenhoudt; Takahiro Matsuo; Masayuki Endo; Masaru Sasago

We have investigated the impact of water and top-coats on the resist in water immersion lithography by analyzing the dissolution behavior and the film constitution. We used a resist development analyzer (RDA) and a quartz crystal microbalance (QCM) to study the dissolution behavior. The film constitution was studied through the gradient shaving preparation (GSP) method in combination with TOF-SIMS. The GSP/TOF-SIMS method reveals the constitution of a top-coat/resist film. We found that, in a resist, the photo acid generator (PAG) anion at a depth of about 30 nm from the surface leached into water and a surface insoluble layer formed during immersion. The estimated amount of leaching was about 5% of the original content. The formation of an intermixing layer with a low dissolution rate was observed for some top-coat and resist combinations. The thickness of the intermixing layer and the formation behavior were made clear. We believe the intermixing layer was caused by the top-coat solvent eluting resist components. In a top-coat, a PAG existed within the top-coat and the PAG anion leached into the water. Top-coats blocked gaseous decomposed products from the resist film during PEB. These results are useful for estimating patterning characteristics and the defectivity due to materials for actual immersion exposure.


Kobunshi Ronbunshu | 1988

Photodegrakation of the Copolymers bearing acyloxyimino groups: Investigation by viscomety and GPC.

Masahiro Tsunooka; Shinji Kishimura; Makoto Tanaka

メタクリロイルアセトフェノンオキシム (MAAPO) とスチレン (St) あるいはメタクリル酸メチル (MMA) 共重合体の固相における光崩壊反応について, 粘度法及びGPC法により検討した. 数平均分子量 (Mn) 及び重量平均分子量 (Mw) はGPCより求めた. 粘度平均分子量, Mr (f) 及びMv (u) は, それぞれ分別したポリマーあるいは未分別ポリマーを用いて求められたホモポリマーの粘度式に固有粘度を代入して求めた. 主鎖切断数SnはSn = (1/Mn1-1/Mn0) (1) (0, tは照射時間) より求めた. MAAPO (1.9) -Stの光崩壊において, 式 (1) のMnの代わりにMv (f) を用いて求めたSv (f) はSnとSn=2Sv (f) (2) なる関係がありMAAPOが16.5mol%でも式 (2) は成立したが, MAAPO-MMAでは成立しなかった. St共重合体の光崩壊反応の初期では, 光分解したアシルオキシイミノ基の4%が主鎖切断に関与していることがわかった.


Archive | 2005

Resist material and pattern formation method

Shinji Kishimura; Masayuki Endo; Masaru Sasago; Mitsuru Ueda; Hirokazu Imori; Toshiaki Fukuhara


Archive | 2002

Pattern formation material and pattern formation method

Shinji Kishimura; Masaru Sasago; Mitsuru Ueda

Collaboration


Dive into the Shinji Kishimura's collaboration.

Top Co-Authors

Avatar

Masaru Sasago

Osaka Prefecture University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Roel Gronheid

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge