Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Roel Gronheid is active.

Publication


Featured researches published by Roel Gronheid.


Journal of Micro-nanolithography Mems and Moems | 2012

Implementation of a chemo-epitaxy flow for directed self-assembly on 300-mm wafer processing equipment

Paulina A. Rincon Delgadillo; Roel Gronheid; Christopher J. Thode; Hengpeng Wu; Yi Cao; Mark Neisser; Mark Somervell; Kathleen Nafus; Paul F. Nealey

Abstract. The implementation of our previously reported chemo-epitaxy method for directed self-assembly (DSA) of block copolymers (BCPs) on 300-mm wafers is described in detail. Some challenges to be addressed include edge bead removal control of the layers forming the exposure stack and uniformity of the deposited films across the wafer. With the fine tuning of the process conditions, this flow provides chemically nanopatterned substrates with well-defined geometry and chemistry. After a film of BCP is annealed on the chemical patterns, high degrees of perfection are achieved. A BCP with natural periodicity of 25 nm was assembled on100-nm pitch prepatterns, obtaining 4X feature multiplication. Top-down scanning electron microscope images show a wide process window with depth of focus >200  nm and exposure latitude >40% for lines and spaces of 12.5-nm half-pitch. We provide a platform for future study of the origin of DSA generated defects and their relationship to process conditions and materials that are amenable to use by the semiconductor industry.


Journal of Micro-nanolithography Mems and Moems | 2008

Novel method for characterizing resist performance

David Van Steenwinckel; Roel Gronheid; Frieda Van Roey; Patrick Willems; Jeroen Herman Lammers

The use of a single figure of merit to judge resist performance with respect to resolution, linewidth roughness LWR, and sensitivity is proposed and evaluated. Chemically amplified photoresists used in advanced lithography nodes need to fulfill stringent requirements for a considerable number of resist and process characteristics. Along with resolution, linewidth roughness and resist sensitivity are important examples where the specifications have become very tight. Previously, it has been shown that resolution, linewidth roughness, and resist sensitivity are fundamentally interdependent. Hence, when evaluating or optimizing resist performance, it is very important to take these three characteristics into consideration simultaneously. We propose to combine these characteristics into a single photoresist figure of merit KLUP. This figure of merit, which is determined from sizing dose, imaging wavelength, resist thickness, exposure latitude, acid diffusion length, linewidth roughness, and pitch, allows for a direct comparison of very different resist formulations independent of the exposure tool used. Thus, KLUP has great potential to assist in evaluating resist performance for the next lithography nodes, for both ArF and for EUV wavelengths.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2011

Towards an all-track 300 mm process for directed self-assembly

Chi-Chun Liu; Christopher J. Thode; Paulina Rincon Delgadillo; Gordon S. W. Craig; Paul F. Nealey; Roel Gronheid

This study modifies the authors’ previously reported directed self-assembly (DSA) process of polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) in order to meet the throughput and material-related requirements of a semiconductor manufacturing environment. It is demonstrated that all of the bottleneck steps in the authors’ DSA process, including the deposition of the cross-linkable mat and the deposition of the brush layer, can be done in minutes on a hot plate in an N2 atmosphere, which simulates the processing environment of a lithography track module. A 25-nm-pitch pattern resulting from a 4:1 density multiplication was demonstrated with a manufacturing-compatible organic solvent. A preliminary uniformity study on 300 mm wafers was also presented. The modified DSA process presents a viable solution to some of the anticipated throughput-related challenges to DSA commercialization and thus, brings integration of DSA within reach of the semiconductor manufacturing industry.


Proceedings of SPIE | 2008

Alternative process schemes for double patterning that eliminate the intermediate etch step

Mireille Maenhoudt; Roel Gronheid; N. Stepanenko; T. Matsuda; Diziana Vangoidsenhoven

Double patterning is used to scale designs below k1 factors that can be obtained with single patterning. Because of the double litho and etch steps, however, this is an expensive and time consuming technique. Spacer defined double patterning, which is commonly used to shrink regular dense patterns as used in memory applications, is an expensive technique because of the many deposition and etch steps that are required. In this paper, we propose several alternative process flows which can reduce the cost-of-ownership by eliminating the intermediate etch step in a double litho, double etch for line/space patterns, and replace it by a process step in the track only. These alternative process flows use thermal freezing resist, positive/negative resist and coating a freezing material. For these materials 32nm node logic patterning can be demonstrated, and even 32nm half pitch can be patterned already with one technique. As alternative technique to spacer defined double patterning, dual tone development is proposed, which can generate pitch doubling in resist using a single exposure. Proof-of-concept of this technique is shown experimentally.


Proceedings of SPIE | 2013

Defect source analysis of directed self-assembly process (DSA of DSA)

Paulina Rincon Delgadillo; Ryota Harukawa; Mayur Suri; Stephane Durant; Andrew Cross; Venkat Nagaswami; Dieter Van den Heuvel; Roel Gronheid; Paul F. Nealey

As design rule shrinks, it is essential that the capability to detect smaller and smaller defects should improve. There is considerable effort going on in the industry to enhance Immersion Lithography using DSA for 14 nm design node and below. While the process feasibility is demonstrated with DSA, material issues as well as process control requirements are not fully characterized. The chemical epitaxy process is currently the most-preferred process option for frequency multiplication and it involves new materials at extremely small thickness. The image contrast of the lamellar Line/Space pattern at such small layer thickness is a new challenge for optical inspection tools. In this investigation, the focus is on the capability for optical inspection systems to capture DSA unique defects such as dislocations and disclination clusters over the system and wafer noise. The study is also extended to investigate wafer level data at multiple process steps and determining contribution from each process step and materials using ‘Defect Source Analysis’ methodology. The added defect pareto and spatial distributions of added defects at each process step are discussed.


Proceedings of SPIE | 2012

All track directed self-assembly of block copolymers: process flow and origin of defects

Paulina A. Rincon Delgadillo; Roel Gronheid; Christopher J. Thode; Hengpeng Wu; Yi Cao; Mark Somervell; Kathleen Nafus; Paul F. Nealey

Directed Self-Assembly (DSA) of block copolymers is considered to be a potential lithographic solution to achieve higher feature densities than can be obtained by current lithographic techniques. However, it is still not well-established how amenable DSA of block copolymers is to an industrial fabrication environment in terms of defectivity and processing conditions. Beyond production-related challenges, precise manipulation of the geometrical and chemical properties over the substrate is essential to achieve high pattern fidelity upon the self-assembly process. Using our chemo-epitaxy DSA approach offers control over the surface properties of the slightly preferential brush material as well as those of the guiding structures. This allows for a detailed assessment of the critical material parameters for defect reduction. The precise control of environment afforded by industrial equipment allows for the selective analysis of material and process related boundary conditions and assessment of their effect on defect generation. In this study, the previously reported implementation of our feature multiplication process was used to investigate the origin of defects in terms of the geometry of the initial pre-patterns. Additionally, programmed defects were used to investigate the ability of the BCP to heal defects in the resist patterns and will aid to assess the capture capability of the inspection tool. Finally, the set-up of the infrastructure that will allow the study the generation of defects due to the interaction of the BCP with the boundary conditions has been accomplished at imec.


Proceedings of SPIE | 2007

EUV lithography program at IMEC

Anne Marie Goethals; Rik Jonckheere; Gian F. Lorusso; Jan Hermans; Frieda Van Roey; Alan Myers; Manish Chandhok; Insung Kim; Ardavan Niroomand; Fumio Iwamoto; Nikolay Stepanenko; Roel Gronheid; Bart Baudemprez; Kurt G. Ronse

IMEC has started an EUV lithography research program based on ASMLs EUV full field scanner, the Alpha Demo Tool (ADT). Currently, the ADT is in the final phase of installation. The program focuses on three main projects: EUV resists, EUV reticles and assessment of the ADT performance. The intent of this program is to help improve and establish the necessary mask and resist infrastructure. In this paper, the status and the progress of the program is reviewed. In preparation for a resist process for the ADT, interference lithography has been used to track the progress of resist performance. Steady progress in resist development is seen, especially in terms of resolution, as some materials are now able to resolve 25nm HP. In its initial phase, the reticle project has concentrated on working with the mask and blank suppliers to assure timely availability of reticles for the ADT. An overview is given of the other reticle related activities, as well as first results of a defect printability study by simulation. In the ADT assessment project, simulation studies are reported aimed at the development of optical correction for flare and reticle shadowing effects. The impact of flare and shadowing effects are well understood and strategies for flare mitigation and shadowing effect correction are proposed.


Proceedings of SPIE | 2007

A novel method for characterizing resist performance

D. Van Steenwinckel; Roel Gronheid; Jeroen Herman Lammers; A. M. Meyers; F. Van Roey; P. Willems

In this paper, the use of a single Figure-of-Merit to judge resist performance with respect to line width roughness, resolution and sizing dose is proposed and evaluated. Chemically amplified photoresists used in advanced lithography nodes need to fulfill stringent requirements for a considerable number of resist and process characteristics. Along with resolution, line width roughness and resist sensitivity are important examples where the specifications have become very tight. Previously, it has been shown that resolution, line width roughness and resist sensitivity are fundamentally interdependent. Hence, when evaluating or optimizing resist performance it is very important to take these three characteristics into consideration simultaneously. We propose to combine these characteristics in a single photoresist Figure of Merit KLUP. This Figure of Merit, which is determined from sizing dose, imaging wavelength, exposure latitude, acid diffusion length, line width roughness and pitch allows for a direct comparison of very different resist formulations independent of the exposure tool used. Thus, KLUP has great potential to assist in evaluating resist performance for the next lithography nodes, for both ArF and for EUV wavelengths.


Proceedings of SPIE | 2014

Defect reduction and defect stability in IMEC's 14nm half-pitch chemo-epitaxy DSA flow

Roel Gronheid; Paulina Rincon Delgadillo; Hari Pathangi; Dieter Van den Heuvel; Doni Parnell; Boon Teik Chan; Yu-Tsung Lee; Lieve Van Look; Yi Cao; YoungJun Her; Guanyang Lin; Ryota Harukawa; Venkat Nagaswami; Lucia D'Urzo; Mark Somervell; Paul F. Nealey

Directed Self-Assembly (DSA) of Block Co-Polymers (BCP) has become an intense field of study as a potential patterning solution for future generation devices. The most critical challenges that need to be understood and controlled include pattern placement accuracy, achieving low defectivity in DSA patterns and how to make chip designs DSA-friendly. The DSA program at imec includes efforts on these three major topics. Specifically, in this paper the progress in DSA defectivity within the imec program will be discussed. In previous work, defectivity levels of ~560 defects/cm2 were reported and the root causes for these defects were identified, which included particle sources, material interactions and pre-pattern imperfections. The specific efforts that have been undertaken to reduce defectivity in the line/space chemoepitaxy DSA flow that is used for the imec defectivity studies are discussed. Specifically, control of neutral layer material and improved filtration during the block co-polymer manufacturing have enabled a significant reduction in the defect performance. In parallel, efforts have been ongoing to enhance the defect inspection capabilities and allow a high capture rate of the small defects. It is demonstrated that transfer of the polystyrene patterns into the underlying substrate is critical for detecting the DSA-relevant defect modes including microbridges and small dislocations. Such pattern transfer enhances the inspection sensitivity by ~10x. Further improvement through process optimization allows for substantial defectivity reduction.


Proceedings of SPIE | 2012

Comparison of directed self-assembly integrations

Mark Somervell; Roel Gronheid; Joshua S. Hooge; Kathleen Nafus; Paulina A. Rincon Delgadillo; Chris Thode; Todd R. Younkin; Koichi Matsunaga; Ben Rathsack; Steven Scheer; Paul F. Nealey

Directed Self-Assembly (DSA) is gaining momentum as a means for extending optical lithography past its current limits. There are many forms of the technology, and it can be used for creating both line/space and hole patterns.1-3 As with any new technology, adoption of DSA faces several key challenges. These include creation of a new materials infrastructure, fabrication of new processing hardware, and the development of implementable integrations. Above all else, determining the lowest possible defect density remains the industrys most critical concern. Over the past year, our team, working at IMEC, has explored various integrations for making 12-14nm half-pitch line/space arrays. Both grapho- and chemo-epitaxy implementations have been investigated in order to discern which offers the best path to high volume manufacturing. This paper will discuss the manufacturing readiness of the various implementations by comparing the process margin for different DSA processing steps and defect density for the entirety of the flow. As part of this work, we will describe our method for using programmed defectivity on reticle to elucidate the mechanisms that drive self-assembly defectivity on wafer.

Collaboration


Dive into the Roel Gronheid's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Jan Doise

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Yi Cao

AZ Electronic Materials

View shared research outputs
Researchain Logo
Decentralizing Knowledge