Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Shinji Yokogawa is active.

Publication


Featured researches published by Shinji Yokogawa.


IEEE Transactions on Device and Materials Reliability | 2008

Analysis of Al Doping Effects on Resistivity and Electromigration of Copper Interconnects

Shinji Yokogawa; Hideaki Tsuchiya; Yumi Kakuhara; Kuniko Kikuta

In this paper, we investigated the effect of impurity (aluminum; Al) doping on the resistivity of damascene copper (Cu) interconnects by categorizing it into surface, grain-boundary, and impurity-scattering factors by means of a comprehensive scattering model. Segregation of Al dopant atoms to the interface of the lines increases the resistivity through increased surface scattering. Electromigration (EM)-induced Cu drift is suppressed as the Al concentration increases. The EM lifetime is improved by the suppression of Cu diffusion due to the piled-up Al at the top surface of the Cu interconnects.


Journal of Applied Physics | 2007

Effects of Al doping on the electromigration performance of damascene Cu interconnects

Shinji Yokogawa; Hideaki Tsuchiya

We report the effects of Al doping on electromigration in damascene Cu interconnects. Al doping was performed by thermal diffusion from a CuAl seed layer positioned underneath the Cu interconnects. To investigate the dependencies of the Al concentration, the seed CuAl layer thickness was increased from 40to90nm on a planar surface. The effects of Al doping on the incubation time, drift velocity, and critical product of electromigration were investigated. The drift velocity of Cu mass transport in CuAl alloys decreases with an increase in the concentration of Al atoms. The observed critical product of electromigration is 1500A∕cm, and it is independent of the Al concentration. The measured activation energies of the normalized drift velocities for CuAl seed layer thicknesses of 40, 60, and 90nm are 1.2, 1.4, and 1.5eV, respectively. The Al concentrations at Cu∕SiCN interface, grain boundary, Ta∕Cu interface, and bulk were investigated along the length of a line by the electron microprobe technique. The tim...


Japanese Journal of Applied Physics | 2005

Scaling Impacts on Electromigration in Narrow Single-Damascene Cu Interconnects

Shinji Yokogawa; Hideaki Tsuchiya

In this paper, we present scaling impacts on electromigration-induced mass transport in narrow single-damascene Cu interconnects. Linewidths ranging from 0.12 µm to 0.20 µm were used to demonstrate the impacts. Lifetimes that are determined by resistance degradation decrease according to decreasing linewidth at a fixed current density. This is caused by a decrease in effective incubation time and an increase in drift velocity. The product of drift velocity and the square of the linewidth has a linear dependence on current density. The activation energy is 1.2 eV for the effective incubation time, and 1.1 eV for the drift velocity. The values are independent of the linewidth. The activation energy suggests that the effective incubation time is the time to void growth from the Cu/SiCN interface to the trench bottom through Cu grain boundaries. The grain boundaries provide nucleation sites for void growth in bamboo structures. Similarly, interface diffusion at the Cu/metal liner on the sidewalls of the line contributes to void growth.


Microelectronics Reliability | 2006

Electromigration lifetimes and void growth at low cumulative failure probability

Hideaki Tsuchiya; Shinji Yokogawa

Abstract We have investigated electromigration (EM) lifetimes and void formation at cumulative failure probability of around 50 ppm. We carried out EM test in damascene Cu lines using sudden-death test structures. Cumulative failure probability of the test ranges from 50 ppm to 90%. To investigate the void nucleation and growth behaviour, Cu microstructures were investigated by using scanning transmission electron microscopy (S-TEM) and electron backscatter diffraction (EBSD) technique. EM lifetime shows strong correlation with the void nucleation site and the void volume. In addition, the worst case for EM lifetime is that wide angle grain boundary exists just under the via as a void nucleation site.


Japanese Journal of Applied Physics | 2010

Comparison of Lifetime Improvements in Electromigration between Ti Barrier Metal and Chemical Vapor Deposition Co Capping

Yumi Kakuhara; Shinji Yokogawa; Kazuyoshi Ueno

As promising technologies for fabrication of highly reliable Cu interconnects, using Ti barrier metal (Cu/Ti) and chemical vapor deposition (CVD) Co capping (Co/Cu/Ta/TaN) were compared. Both Cu interconnects similarly showed longer electromigration (EM) lifetime and larger activation energy of lifetime than conventional Cu interconnects fabricated using Ta/TaN barrier metal without capping metal (Cu/Ta/TaN). The residual resistance of Cu lines was measured cryogenically. Cu/Ti showed a higher residual resistance than Co/Cu/Ta/TaN and Cu/Ta/TaN, which indicates the presence of more impurities and a higher density of grain boundaries in Cu/Ti. Energy dispersive X-ray spectroscopy (EDX) analysis showed that a large amount of Ti doped into the Cu line segregated mostly at the grain boundaries on the Cu surface. It is suggested that Ti doped into a Cu line suppresses Cu diffusion through only the grain boundaries on the Cu surface. On the other hand, Co capping suppresses Cu diffusion through the entire Cu surface. As Cu/Ti improved EM lifetime significantly, the grain boundaries on the Cu surface are proposed to be a predominant diffusion path in EM. Since the density of grain boundaries probably increases on the Cu surface as interconnects shrink, suppressing the grain boundary diffusion on the Cu surface is highly effective to strengthen EM reliability.


IEEE Transactions on Electron Devices | 2008

Tradeoff Characteristics Between Resistivity and Reliability for Scaled-Down Cu-Based Interconnects

Shinji Yokogawa; Kuniko Kikuta; Hideaki Tsuchiya; Toshiyuki Takewaki; Mieko Suzuki; H. Toyoshima; Yumi Kakuhara; Naoyoshi Kawahara; Tatsuya Usami; Koichi Ohto; Kunihiro Fujii; Yasuaki Tsuchiya; Koji Arita; Koichi Motoyama; Makoto Tohara; Toshiji Taiji; Tetsuya Kurokawa; Makoto Sekine

We investigated tradeoff characteristics between resistivity and reliability for scaled-down Cu-based interconnects. A unique resistivity-measurement technique is proposed to detect influences due to impurity doping. Using this technique, we investigated the impacts of the impurity doping on three types of copper interconnects - cobalt-tungsten-phosphorous (CoWP) metal-cap interconnects, plasma-enhanced chemical-vapor-deposition self-aligned barrier interconnects, and CuAl alloy interconnects - and clarified the tradeoffs between the resistivity and the reliability. We found that the metal-cap interconnect shows not only high reliability but also outstanding efficiency with regard to the suppression of resistance increase due to impurity doping.


Japanese Journal of Applied Physics | 2004

Electromigration-Induced Void Growth Kinetics in SiNx-Passivated Single-Damascene Cu Lines

Shinji Yokogawa

Basic electromigration characteristics of SiNx-passivated single-damascene Cu lines have been investigated. The results indicate that an effective incubation time for void growth contributes to resistance change. This effective incubation time depended inversely on the square of current density. The activation energy of the effective incubation time was 1.14 ±0.27 eV. The observed critical product of electromigration was approximately 5900 A/cm. The activation energy of diffusion was 0.89 ±0.07 eV. These results indicate that the Cu/SiNx interface diffusion activation energy and the effective charge number are similar to those of unpassivated Cu surface diffusion. However, the SiNx-passivated interface of the sample showed significantly reduced drift velocity compared to those of the unpassivated sample.


international reliability physics symposium | 2011

Statistics of breakdown field and time-dependent dielectric breakdown in contact-to-poly modules

Shinji Yokogawa; Satoshi Uno; Ichiro Kato; Hideaki Tsuchiya; Tatsuo Shimizu; Mitsuhiro Sakamoto

In this paper, we present the results of voltage-ramp dielectric breakdown and time-dependent dielectric breakdown experiments for contact-polysilicon control gate intra-level dielectric stacks. Lifetime distribution and area scaling are discussed statistically with the analysis of global and local space deviations using the electrical method. Optimized process reliability is evaluated by performing a SRAM lifetime test that measures the early life failure rate.


IEEE Transactions on Device and Materials Reliability | 2013

Lifetime Distribution Analysis of Stress-Induced Voiding Based on Void Nucleation and Growth in Cu/Low-

Shinji Yokogawa; Hideaki Tsuchiya

The lifetime distribution of stress-induced voiding with area scaling is investigated on a void nucleation and growth framework. The distribution resulting from the convolution integrals of the time to void nucleation and the time for void growth was applied to observed data. The time to void nucleation showed non-Poisson area scaling, whereas the time for void growth showed Poisson area scaling. Therefore, the area scaling effects were applied to a negative binominal distribution and a Poisson distribution, respectively. With a Monte Carlo simulation, it was found that the time for void growth will be dominant for the product-level lifetime.


international reliability physics symposium | 2008

\kappa

Shinji Yokogawa; D. Oshida; H. Tsuchiya; T. Taiji; T. Morita; Y. Tsuchiya; T. Takewaki

A novel method for the prediction of early failure (EF) due to a non-visual defect is proposed for the time-dependent dielectric breakdown (TDDB) of low-k dielectrics. The yield-reliability relation model is modified to evaluate the EFs. The effectiveness of the novel method is experimentally confirmed by using a 65 nm technology node. A bimodal lifetime distribution is used to evaluate the lifetime distribution. The probability of EF can be estimated based on the basis of the results and the defect density of each wafer.

Collaboration


Dive into the Shinji Yokogawa's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Yumi Kakuhara

Shibaura Institute of Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Kazuyoshi Ueno

Shibaura Institute of Technology

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge