Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Shinroku Maejima is active.

Publication


Featured researches published by Shinroku Maejima.


Proceedings of SPIE | 2007

OPC-free on-grid fine random hole pattern formation utilizing double resist patterning with double RETs

Shuji Nakao; Shinroku Maejima; Takeshi Yamamoto; Yoshiharu Ono; Junjiro Sakai; Atsumi Yamaguchi; Akira Imai; Tetsuro Hanawa; Kazuyuki Sukoh

A novel process of OPC-free on-grid fine random hole pattern formation is developed. Any random hole pattern with ~120nm diameter on 240 nm base grid can be printed by KrF exposure. In this technique, double resist patterning scheme is adopted. Dense hole pattern is delineated with first resist process. Quadrupole illumination is applied with embedded attenuating phase shift mask (EA-PSM) in imaging on this step. As is well known, fine dense hole pattern is formed with very large process latitude. After development of the first resist, hardening of the resist film by Ar ion implantation is carried out so as not to mix with second resist at second coating. This hardening process is very robust such that rework in second resist process can be performed with stripping the resist by a solvent. Then, second resist patterning is carried out. In the second exposure, cross-pole illumination is applied with high transmission EA-PSM. By this imaging, very fine dark spot image is generated. Resultantly, fine random pillar patterns, which plug an underlying hole, are formed in the second resist film. Because function of the pillar is plugging a hole, no precise CD control is required. Moreover, pattern connection between adjacent pillars does not cause any problem. Hence, no OPC is needed in the pillar formation, regardless of printed size variation of the pillars. Undesired holes in the dense holes are plugged by the pillars. As a result of the double resist patterning, on-grid random hole pattern is successfully delineated. Due to the robustness of each patterning process, very high process latitude is achieved. Off course, this technique can be carried out under any wavelength on regard of imaging. In other aspect, this technique utilizes only positive-tone resist. Hence, this technique can be applied with leading-edge ArF immersion lithography. As a conclusion, this technique is a promising candidate of hole pattern formation in 32nm era and beyond.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Verification of optical proximity effect in immersion lithography

Toshifumi Suganaga; Shinroku Maejima; Tetsuro Hanawa; Takeo Ishibashi; Shuji Nakao; Seiichiro Shirai; Koichiro Narimatsu; Kazuyuki Suko; Kenichi Shiraishi; Yuuki Ishii; Tomoyuki Ando; Katsumi Ohmori

193 nm lithography is one of the most promising technologies for next-generation lithography and is being actively evaluated for making it practicable (1,2). First, we evaluated an immersion lithography tool (engineering evaluation tool (EET)) (3) and a dry lithography tool (S307E) with the same numerical aperture (NA = 0.85), manufactured by Nikon Corporation. As a result, an increase in the depth of focus (DOF) of the EET to 200 nm in comparison with the DOF (110 nm) of the dry exposure tool was confirmed in a 90 nm isolated space pattern. Next, the optical proximity effect (OPE) in this pattern was evaluated. Generally, when an immersion lithography tool is compared with a dry one with the same NA or both the tools, only an increase in the DOF is found. However, we confirmed that the OPE (The OPE of the 90 nm isolated space pattern is defined as the difference in the space width between a dense space and an isolated space.) of the dry exposure tool for the 90 nm isolated space pattern reduced from 33.1 nm to 14.1 nm by immersion lithography. As the effect of the reduction of 19 nm, the OPE reduced to 15.2 nm by the effect of the top coatings (TCs) and to 3.8 nm by the optical characteristics. An impact of about 5 nm on the OPE was confirmed by the process parameters-film thickness and the pre-bake temperature of the TC. In the case that the solvent was replaced with a high boiling point solvent, the impact changed from 5 to 20 nm further, the replacement of the solvent had a considerable impact on the OPE. However, this influence differs considerably according to the kind of resists; further, it was shown that the addition of acid materials and a change in the polymer base resulted in a high impact on the OPE for a certain resist. Thus, it was demonstrated that the selection of TC is very important for the OPE in immersion lithography.


Proceedings of SPIE | 2008

A system to optimize mix-and-match overlay in lithography

Shinji Wakamoto; Yuuki Ishii; Koji Yasukawa; Shinroku Maejima; Atsuhiko Kato; John C. Robinson; Dongsub Choi

Critical processing factors in the lithography process include overlaying the pattern properly to previous layers and properly exposing the pattern to achieve the desired line width. Proper overlay can only be attained in the lithography process while the desired line width accuracy is achieved by both lithography and etch processes. Since CD is substantially influenced by etch processing, therefore, it is possible to say that overlay is one of the most important processing elements in the lithography process. To achieve the desired overlay accuracy, it is desirable to expose critical layers with the same exposure tool that exposed the previous or target layer. This need to dedicate a particular exposure tool, however, complicates the lot dispatching schedule and, even worse, decreases exposure tool utilization. In order to allow any exposure tool available to print the arriving lot, M&M (Mix and Match) overlay control becomes necessary. By reducing overlay errors in M&M control, lot dispatching scheduling will become more flexible and exposure tool utilization will improve. Since each exposure tool has a unique registration signature, high order errors appear when overlaying multiple layers exposed with different tools. Even with the same exposure tool, if a different illumination is used, a similar error will be seen. A correction scheme to make the signature differences has to be implemented, however manually characterizing each tools signature per illumination condition is extremely tedious, and is subject human errors. The challenge is to design a system to perform the corrections automatically. In the previous paper(1), we have outlined concepts of the system scheme. The system has subsequently been developed and tested using exposure tools. In this paper test results are shown using automated distortion correction. By analyzing the results, suggestions for further improvements and further developments are shown.


international microprocesses and nanotechnology conference | 2007

Estimation of resist blur by overlay measurement

Shuji Nakao; Shinroku Maejima; Takuya Hagiwara; Akemi Moniwa; Junjiro Sakai; Tetsuro Hanawa; Kazuyuki Suko

A method to estimate a magnitude of resist blur by overlay measurement with a special mark. Because of utilization of optical measurement, line-end-shortening (LES) can be measured with good repeatability, resulting in accurate estimation of a magnitude of resist blur. This method is very useful to estimate resist blur in an advanced lithography era.


Proceedings of SPIE | 2007

Defect transfer from immersion exposure process to post-processing and defect reduction using novel immersion track system

Osamu Miyahara; Takeshi Shimoaoki; Shinya Wakamizu; Junichi Kitano; Yoshiharu Ono; Shinroku Maejima; Tetsuro Hanawa; Kazuyuki Suko

As a promising way to scale down semiconductor devices, 193-nm immersion exposure lithography is being developed at a rapid pace and is nearing application to mass production. This technology allows the design of projection lens with higher numerical aperture (NA) by filling the space between the projection lens and the silicon wafer with a liquid (de-ionized water). However, direct contact between the resist film and water during exposure creates a number of process risks. There are still many unresolved issues and many problems to be solved concerning defects that arise in 193-nm immersion lithography. The use of de-ionized water during the exposure process in 193-nm immersion lithography can lead to a variety of problems. For example, the trapping of microscopic air bubbles can degrade resolution, and residual water droplets left on the wafer surface after immersion exposure can affect resolution in the regions under those droplets. It has also been reported that the immersion of resist film in de-ionized water during exposure can cause moisture to penetrate the resist film and dissolve resist components, and that immersion can affect critical dimensions as well as generate defects. The use of a top coat is viewed as one possible way to prevent adverse effects from the immersion of resist in water, but it has been reported that the same problems may occur even with a top coat and that additional problems may be generated, such as the creation of development residues due to the mixing of top coat and resist. To make 193-nm immersion lithography technology practical for mass production, it is essential that the above defect problems be solved. Importance must be attached to understanding the conditions that give rise to residual defects and their transference in the steps between lithography and the etching/cleaning processes. In this paper, we use 193-nm immersion lithography equipment to examine the transference (traceability) of defects that appear in actual device manufacturing. It will be shown that defect transfer to the etching process can be significantly reduced by the appropriate use of defect-reduction techniques.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Studies on immersion defects using mimic immersion experiments

Tetsuro Hanawa; Toshifumi Suganaga; Takeo Ishibashi; Shinroku Maejima; Koichiro Narimatsu; Kazuyuki Suko; Mamoru Terai; Teruhiko Kumada; Junichi Kitano

Top coat process is required for immersion lithography in order to prevent both the chemical contamination of scanner optics with eluted chemicals from resist material and the formation of residual droplet under the immersion exposure with high scanning speed. However, defect density of ArF immersion lithography with alkaline developer soluble type top coat material is much higher than that of ArF dry lithography. Mimic immersion experiments comprised of soaking of exposed conventional dry ArF resist with purified water followed by drying step were performed in order to study the immersion specific defects. It was suggested that the origin of immersion specific defects with alkaline developer soluble type top coat was the remaining water on and in the permeable top coat layer that might interfere the desired deprotection reaction of resist during post exposure bake (PEB). Therefore, application of post exposure rinse process that can eliminate the impact of the residual micro water droplets before PEB is indispensable for defect reduction. Post exposure rinse with optimized purified water dispense sequence was noticed to be valid for defect reduction in mimic immersion lithography, probably in actual immersion lithography.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Approximate method of mask flatness factor in focus deviation

Shinroku Maejima; Seiichiro Shirai; Akira Imai; Shuji Nakao; Koji Tange; Akira Chiba; Kunihiro Hosono; Koichiro Narimatsu

Recent integrated circuit (IC) manufacturing processes require smaller critical dimension (CD) in order to facilitate the development of exposure tools with a higher numerical aperture (NA) and shorter wavelength. Consequently, the depth of focus (DOF) has considerably decreased, and the DOF currently required for 45-nm node devices is approximately 150 nm. Hence, the contribution of mask flatness to the total DOF increases. Inoue et al. systematically and precisely investigated the influence of mask flatness by using a free-standing plate and chucked plate interferometer. In this study, we fabricated several back side chrome (BSC) masks for focus monitoring, determined the flatness of these masks by an exposure experiment, and compared the flatness with that directly determined by using a free-standing plate interferometer. Thus, we verified the possibility of predicting the mask flatness component on an image plane by using the mask flatness data obtained using the interferometer.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

A novel Alt-PSM structure : isn't this an embedded Atten-PSM

Shuji Nakao; Kunihiro Hosono; Shinroku Maejima; Koichiro Narimatsu; Tetsuro Hanawa; Kazuyuki Suko

A novel mask structure for an alternating aperture phase shift mask (Alt-PSM) to cut mask cost is proposed. By a mask with structure of an embedded attenuating phase shift mask (Atten-PSM), an Alt-PSM for an isolated line formation can be well fabricated. Fine image quality is confirmed with optical image calculations. Moreover, concept of this novel mask is proved by a preliminary experiment. In conclusion, this novel mask can replace conventional Alt-PSM for logic devices, resulting in considerable cut of mask cost.


Archive | 2002

Focus monitoring method, focus monitoring system, and device fabricating method

Shuji Nakao; Yuki Miyamoto; Shinroku Maejima


Proceedings of SPIE | 2007

Improved overlay control through automated high-order compensation

Shinji Wakamoto; Yuuki Ishii; Koji Yasukawa; Ayako Sukegawa; Shinroku Maejima; Atsuhiko Kato; John C. Robinson; Brad Eichelberger; Pavel Izikson; Mike Adel

Collaboration


Dive into the Shinroku Maejima's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge