Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Tetsuro Hanawa is active.

Publication


Featured researches published by Tetsuro Hanawa.


Proceedings of SPIE | 2007

OPC-free on-grid fine random hole pattern formation utilizing double resist patterning with double RETs

Shuji Nakao; Shinroku Maejima; Takeshi Yamamoto; Yoshiharu Ono; Junjiro Sakai; Atsumi Yamaguchi; Akira Imai; Tetsuro Hanawa; Kazuyuki Sukoh

A novel process of OPC-free on-grid fine random hole pattern formation is developed. Any random hole pattern with ~120nm diameter on 240 nm base grid can be printed by KrF exposure. In this technique, double resist patterning scheme is adopted. Dense hole pattern is delineated with first resist process. Quadrupole illumination is applied with embedded attenuating phase shift mask (EA-PSM) in imaging on this step. As is well known, fine dense hole pattern is formed with very large process latitude. After development of the first resist, hardening of the resist film by Ar ion implantation is carried out so as not to mix with second resist at second coating. This hardening process is very robust such that rework in second resist process can be performed with stripping the resist by a solvent. Then, second resist patterning is carried out. In the second exposure, cross-pole illumination is applied with high transmission EA-PSM. By this imaging, very fine dark spot image is generated. Resultantly, fine random pillar patterns, which plug an underlying hole, are formed in the second resist film. Because function of the pillar is plugging a hole, no precise CD control is required. Moreover, pattern connection between adjacent pillars does not cause any problem. Hence, no OPC is needed in the pillar formation, regardless of printed size variation of the pillars. Undesired holes in the dense holes are plugged by the pillars. As a result of the double resist patterning, on-grid random hole pattern is successfully delineated. Due to the robustness of each patterning process, very high process latitude is achieved. Off course, this technique can be carried out under any wavelength on regard of imaging. In other aspect, this technique utilizes only positive-tone resist. Hence, this technique can be applied with leading-edge ArF immersion lithography. As a conclusion, this technique is a promising candidate of hole pattern formation in 32nm era and beyond.


international microprocesses and nanotechnology conference | 2005

Newly developed RELACS process and materials for 65nm node device and beyond

M. Terai; Teruhiko Kumada; T. Ishibashi; Tetsuro Hanawa

To meet markets demands for high performance devices, we have studied various resolution enhancement techniques (RET), such as off axis illumination, phase shift masks, optical proximity correction, resist thermal reflow, and chemical shrinkage process etc. RELACS (resolution enhancement lithography assisted by chemical shrink) is one of the most useful technology among chemical shrink processes. We have introduced KrF-RELACS process into mass production phase. However, in the case of ArF lithography, we are not able to be satisfied with shrinkage performance of conventional RELACS materials. To improve this matter, we paid attention to the chemically difference of side chain between KrF resist and ArF resist polymers.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Newly developed RELACS materials and process for 65 nm nodes

Mamoru Terai; Teruhiko Kumada; Takeo Ishibashi; Tetsuro Hanawa; Noboru Satake; Yusuke Takano

We have developed a new ArF-RELACS (Resolution Enhancement Lithography Assisted by Chemical Shrink) material called AZ-LExp.R720. The principle and process procedure of LExp.R720 are almost identical to those previously developed with KrF lithography. The extent of crosslinking reactions and the mobility balance of chemical components at the boundary between resist and the RELACS film is adjusted to ArF resist chemistry. LExp.R720 can vary shrinkage from 10 to 40nm by controlling the process conditions, mainly the mixing bake temperature. The amount of shrinkage is independent of pattern pitch and focus. We confirmed that pattern profile, lithography margin, CD uniformity, etching resistance, and pattern defects were not deteriorated by the RELACS process with deionized water development. L.ExpR720 was able to get an amount of shrinkage with several of ArF resists, which has commercial applications. In conclusion, we believe that LExp.R720 is extremely useful for 65 nm node and next generation devices.


Proceedings of SPIE | 2007

Development of high-performance multi-layer resist process with hardening treatment

Yoshiharu Ono; Takeo Ishibashi; Atsumi Yamaguchi; Tetsuro Hanawa; Masahiro Tadokoro; Kazunori Yoshikawa; Kazumasa Yonekura; Keiko Matsuda; Takeshi Matsunobe; Yasushi Fujii; Takeshi Tanaka

In the manufacture of devices beyond the 45 nm node, it is important to employ a high-performance multi-layer resist (MLR) process that uses silicon containing ARC (Si-ARC) and spin on carbon (SOC). We examined an additional hardening process of SOC by H2 plasma treatment in order to improve the etching durability of the MLR. The dry etching durability of H2-plasma-hardened SOC film showed a drastic improvement, while the wiggling features of the MLR without H2 treatment observed after SiO2 etching disappeared completely. The hardening mechanism of SOC was analyzed by Fourier transform infrared spectroscopy (FTIR) with gradient shaving preparation (GSP) and Raman spectrometry. The formation of diamond-like amorphous carbon at a depth of approximately 50 nm was observed and was attributed to the improvement in the dry etching durability. In addition, the MLR stack with hardening has good reflectivity characteristics. The simulated reflectivity at the interface between the bottom of the resist and top surface of the MLR stack with hardening below 0.6% was attained over a wide range of Si-ARC thicknesses and hyper NA (~1.3) regions. The measured refractive indices of the hardened SOC film at 193 nm had a high value at the surface; however, they gradually decreased toward the inner region and finally became the same as those of untreated SOC. This might be the origin of the estimated excellent reflectivity characteristics.


Japanese Journal of Applied Physics | 2006

Newly developed resolution enhancement lithography assisted by chemical shrink process and materials for next-generation devices

Mamoru Terai; Teruhiko Kumada; Takeo Ishibashi; Tetsuro Hanawa

We have newly developed a resolution enhancement lithography assisted by chemical shrink (RELACS) material for ArF lithography. Several process performances were evaluated for 65 nm nodes and next-generation devices. The principle and procedure of the RELACS process is similar to those developed previously for KrF lithography. The extent of cross-linking reaction and the mobility balance of chemical components at the boundary between the resist and RELACS film is adjusted to ArF resist chemistry. The novel RELACS material causes variation in shrinkage from 10 to 50 nm by controlling process conditions. The shrinkage amount is independent of pattern pitch and lithography conditions, i.e., dose and focus. We confirmed that the pattern profile, lithography margin, critical dimension (CD) uniformity, etching resistance, and pattern defects were not deteriorated by the RELACS process with distilled water. We believe that the novel RELACS process and materials are extremely useful for 65 nm nodes and next-generation devices.


Japanese Journal of Applied Physics | 2010

Immersion-Specific Defects of High-Receding-Angle Topcoat

Mamoru Terai; Teruhiko Kumada; Takuya Hagiwara; Tetsuro Hanawa; Tomoyuki Ando; Takeshi Matsunobe; Kazuyuki Okada; Yuichi Muraji; Kazuhiro Yoshikawa; Naoki Man

Dynamic receding contact angle (RCA) is a well-known parameter for estimating the degree of immersion-specific defects that have mainly circular and bridge shapes. In general, these defects decrease in number with increasing RCA of the topcoat (TC). However, we found the occurrence of circular defects despite using the TC with a large RCA. In this study, we analyzed the relationship between the physical properties of TC to reduce the number of defects. The result shows that the number of defects depends not only on a large RCA but also on a small amount of water uptake of TC. To understand well the degree of defects, the effective hysteresis (EH) is defined in terms of the RCA, the advancing contact angle (ACA) and the water absorption of TC. EH will be a useful way of explaining the circular defects. The characteristics of the defects are also discussed with a focus on the structure of the polymer attached to water.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Verification of optical proximity effect in immersion lithography

Toshifumi Suganaga; Shinroku Maejima; Tetsuro Hanawa; Takeo Ishibashi; Shuji Nakao; Seiichiro Shirai; Koichiro Narimatsu; Kazuyuki Suko; Kenichi Shiraishi; Yuuki Ishii; Tomoyuki Ando; Katsumi Ohmori

193 nm lithography is one of the most promising technologies for next-generation lithography and is being actively evaluated for making it practicable (1,2). First, we evaluated an immersion lithography tool (engineering evaluation tool (EET)) (3) and a dry lithography tool (S307E) with the same numerical aperture (NA = 0.85), manufactured by Nikon Corporation. As a result, an increase in the depth of focus (DOF) of the EET to 200 nm in comparison with the DOF (110 nm) of the dry exposure tool was confirmed in a 90 nm isolated space pattern. Next, the optical proximity effect (OPE) in this pattern was evaluated. Generally, when an immersion lithography tool is compared with a dry one with the same NA or both the tools, only an increase in the DOF is found. However, we confirmed that the OPE (The OPE of the 90 nm isolated space pattern is defined as the difference in the space width between a dense space and an isolated space.) of the dry exposure tool for the 90 nm isolated space pattern reduced from 33.1 nm to 14.1 nm by immersion lithography. As the effect of the reduction of 19 nm, the OPE reduced to 15.2 nm by the effect of the top coatings (TCs) and to 3.8 nm by the optical characteristics. An impact of about 5 nm on the OPE was confirmed by the process parameters-film thickness and the pre-bake temperature of the TC. In the case that the solvent was replaced with a high boiling point solvent, the impact changed from 5 to 20 nm further, the replacement of the solvent had a considerable impact on the OPE. However, this influence differs considerably according to the kind of resists; further, it was shown that the addition of acid materials and a change in the polymer base resulted in a high impact on the OPE for a certain resist. Thus, it was demonstrated that the selection of TC is very important for the OPE in immersion lithography.


Japanese Journal of Applied Physics | 2011

Double Patterning Using Multilayer Hard Mask Process with Perhydropolysilazane

Mamoru Terai; Masaaki Shinohara; Kazumasa Yonekura; Takuya Hagiwara; Tetsuro Hanawa; Teruhiko Kumada

A new technology called the double patterning (DP) process with ArF immersion lithography is one of the candidate fabrication technologies for 32-nm-node devices. Over the past few years, many studies have been conducted on techniques of the DP process. Among these technologies, the double Si hard mask (HM) process is thought to be the most applicable technology from the viewpoint of high technical applicability to 32-nm-node device fabrication. However, this process has a disadvantage in terms of cost performance compared with other DP technologies since these HMs are formed by the chemical vacuum deposition (CVD) method. In this study, we examined the DP process using a dual spin-on Si-containing layer without using the CVD method to improve process cost and process applicability. Perhydropolysilazane (PSZ) was used as one of the middle layers (MLs). PSZ changes to SiO2 through reaction with water by the catalytic action of amine in the baking step. Using PSZ and Si-BARC as MLs, we succeeded in fabricating a fine pattern by this novel DP technique. In this paper, the issues and countermeasures of the double HM technique using spin-on Si-containing layers will be reported.


Proceedings of SPIE | 2008

Improvements of adhesion and hydrophobicity of wafer bevel in water immersion lithography

Takeo Ishibash; Mamoru Terai; Takuya Hagiwara; Teruhiko Kumada; Tetsuro Hanawa; Yoko Takebe; Osamu Yokokoji; Tomoharu Fujiwara; Hiroshi Akiyama

In this study, we focus on the controllability of a wafer bevel from adhesion and hydrophobicity viewpoints in order to solve the problems of film peeling and microdroplet formation around wafer bevels, which result in pattern defects. Hexamethyldisilazane (HMDS) treatment is a common solution to these problems. We examine a novel wafer bevel treatment utilizing silane coupling agents (SCAs) for obtaining high adhesion and hydrophobicity. SCAs comprise trimethoxysilanol and organic functional groups. These groups react with inorganic substrates and films just over the surface subjected to a novel chemical treatment (NCT), respectively. Several organic functional groups both with and without fluorine are examined. The hydrophobicity is estimated from the static and receding contact angles of water. The adhesion strength is measured from the stress required for pulling the topcoat film away from the substrate subjected to the NCT. The coating performance of chemicals on the surface by the NCT and the aging stability of the formulated solution of the SCAs are examined for optimizing the composition of the NCT solution. Further, we verify the film peeling behavior and water leakage in wafers having a topcoat, ArF resist, and bottom antireflective coating (BARC) using a quasi-immersion exposure stage.


Proceedings of SPIE | 2008

Double patterning using dual spin-on Si containing layers with multilayer hard mask process

Mamoru Terai; Takeo Ishibashi; Masaaki Shinohara; Kazumasa Yonekura; Takuya Hagiwara; Tetsuro Hanawa; Teruhiko Kumada

A new technology called the double patterning (DP) process with ArF immersion lithography is one of the candidate fabrication technologies for 32 nm-node devices. Over the past few years, many studies have been conducted on techniques for the DP process. Among these technologies, we thought that the double Si hard mask (HM) process is the most applicable technology from the viewpoint of high technical applicability to 32 nm-node device fabrication. However, this process has a disadvantage in the cost performance compared with other DP technologies since these HMs are formed by the chemical vacuum deposition (CVD) method. In this paper, we studied the DP process using a dual spin-on Si containing layer without using the CVD method to improve process cost and process applicability. Perhydropolysilazane (PSZ) was used as one of the middle layers (MLs). PSZ changes to SiO2 through the reaction with water by the catalytic action of amine in the baking step. Using PSZ and Si-BARC as MLs, we succeeded in making a fine pattern by this novel DP technique. In this paper, the issues and countermeasures of the double HM technique using spin-on Si containing layers will be reported.

Collaboration


Dive into the Tetsuro Hanawa's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge