Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Koichiro Narimatsu is active.

Publication


Featured researches published by Koichiro Narimatsu.


advanced semiconductor manufacturing conference | 2009

Focus and CD control by scatterometry measurements for 65/45nm node devices

Toshihide Kawachi; Hidekimi Fudo; Shigenori Yamashita; Keizo Yamamoto; Koichiro Narimatsu; Shunichi Matsumoto; Toshiharu Miwa

A method using scatterometry for simultaneous focus and critical dimension (CD) control method has been developed. Our focus and CD measurement method uses a five-layer scatterometry model and provides stable focus measurement when the exposure dose fluctuates. We utilize this feature and consider applying it to the response surface methodology model for focus and CD control. This control optimizes focus and calculates the correct dose allowing for the focus effect. We have confirmed that this method controls photoresist shape accurately and reduces the CD variation for 65 nm devices by 80%.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Verification of optical proximity effect in immersion lithography

Toshifumi Suganaga; Shinroku Maejima; Tetsuro Hanawa; Takeo Ishibashi; Shuji Nakao; Seiichiro Shirai; Koichiro Narimatsu; Kazuyuki Suko; Kenichi Shiraishi; Yuuki Ishii; Tomoyuki Ando; Katsumi Ohmori

193 nm lithography is one of the most promising technologies for next-generation lithography and is being actively evaluated for making it practicable (1,2). First, we evaluated an immersion lithography tool (engineering evaluation tool (EET)) (3) and a dry lithography tool (S307E) with the same numerical aperture (NA = 0.85), manufactured by Nikon Corporation. As a result, an increase in the depth of focus (DOF) of the EET to 200 nm in comparison with the DOF (110 nm) of the dry exposure tool was confirmed in a 90 nm isolated space pattern. Next, the optical proximity effect (OPE) in this pattern was evaluated. Generally, when an immersion lithography tool is compared with a dry one with the same NA or both the tools, only an increase in the DOF is found. However, we confirmed that the OPE (The OPE of the 90 nm isolated space pattern is defined as the difference in the space width between a dense space and an isolated space.) of the dry exposure tool for the 90 nm isolated space pattern reduced from 33.1 nm to 14.1 nm by immersion lithography. As the effect of the reduction of 19 nm, the OPE reduced to 15.2 nm by the effect of the top coatings (TCs) and to 3.8 nm by the optical characteristics. An impact of about 5 nm on the OPE was confirmed by the process parameters-film thickness and the pre-bake temperature of the TC. In the case that the solvent was replaced with a high boiling point solvent, the impact changed from 5 to 20 nm further, the replacement of the solvent had a considerable impact on the OPE. However, this influence differs considerably according to the kind of resists; further, it was shown that the addition of acid materials and a change in the polymer base resulted in a high impact on the OPE for a certain resist. Thus, it was demonstrated that the selection of TC is very important for the OPE in immersion lithography.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Studies on immersion defects using mimic immersion experiments

Tetsuro Hanawa; Toshifumi Suganaga; Takeo Ishibashi; Shinroku Maejima; Koichiro Narimatsu; Kazuyuki Suko; Mamoru Terai; Teruhiko Kumada; Junichi Kitano

Top coat process is required for immersion lithography in order to prevent both the chemical contamination of scanner optics with eluted chemicals from resist material and the formation of residual droplet under the immersion exposure with high scanning speed. However, defect density of ArF immersion lithography with alkaline developer soluble type top coat material is much higher than that of ArF dry lithography. Mimic immersion experiments comprised of soaking of exposed conventional dry ArF resist with purified water followed by drying step were performed in order to study the immersion specific defects. It was suggested that the origin of immersion specific defects with alkaline developer soluble type top coat was the remaining water on and in the permeable top coat layer that might interfere the desired deprotection reaction of resist during post exposure bake (PEB). Therefore, application of post exposure rinse process that can eliminate the impact of the residual micro water droplets before PEB is indispensable for defect reduction. Post exposure rinse with optimized purified water dispense sequence was noticed to be valid for defect reduction in mimic immersion lithography, probably in actual immersion lithography.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Approximate method of mask flatness factor in focus deviation

Shinroku Maejima; Seiichiro Shirai; Akira Imai; Shuji Nakao; Koji Tange; Akira Chiba; Kunihiro Hosono; Koichiro Narimatsu

Recent integrated circuit (IC) manufacturing processes require smaller critical dimension (CD) in order to facilitate the development of exposure tools with a higher numerical aperture (NA) and shorter wavelength. Consequently, the depth of focus (DOF) has considerably decreased, and the DOF currently required for 45-nm node devices is approximately 150 nm. Hence, the contribution of mask flatness to the total DOF increases. Inoue et al. systematically and precisely investigated the influence of mask flatness by using a free-standing plate and chucked plate interferometer. In this study, we fabricated several back side chrome (BSC) masks for focus monitoring, determined the flatness of these masks by an exposure experiment, and compared the flatness with that directly determined by using a free-standing plate interferometer. Thus, we verified the possibility of predicting the mask flatness component on an image plane by using the mask flatness data obtained using the interferometer.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Studies of the mechanism for immersion specific defects

Takeo Ishibashi; Tetsuro Hanawa; Toshifumi Suganaga; Koichiro Narimatsu; Kazuyuki Suko; Mamoru Terai; Teruhiko Kumada; Tomoyuki Ando

In the past several years, ArF immersion lithography has been developed rapidly for practical applications. One of the most important topics is the elucidation of a mechanism and its solution of immersion specific defects. In this paper, we report several analytical results of immersion specific defects. First, we classify several possible origins of specific defects that are proposed based on our experiment on the actual immersion process and previous literature. We focused on a droplet of immersion water that was the origin of circular and deformed circular-type defects. Further, a watermark (WM) was created on some types of film stacks with or without the topcoat (TC) on the resist. We observed that all samples exhibited the trace of the WM. From chemical surface analyses, we obtained different types of components in the residue of the WM, which dried spontaneously. These components depended on the tested film stack. Some types were not always derived from leaching materials in the resist. Some components in the residue appeared to be airborne contaminants that were unregulated in machines used in the photolithography process. Based on the results of these tests, we discussed some methods for avoiding defects according to the droplet WM.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

A novel Alt-PSM structure : isn't this an embedded Atten-PSM

Shuji Nakao; Kunihiro Hosono; Shinroku Maejima; Koichiro Narimatsu; Tetsuro Hanawa; Kazuyuki Suko

A novel mask structure for an alternating aperture phase shift mask (Alt-PSM) to cut mask cost is proposed. By a mask with structure of an embedded attenuating phase shift mask (Atten-PSM), an Alt-PSM for an isolated line formation can be well fabricated. Fine image quality is confirmed with optical image calculations. Moreover, concept of this novel mask is proved by a preliminary experiment. In conclusion, this novel mask can replace conventional Alt-PSM for logic devices, resulting in considerable cut of mask cost.


Photomask and Next Generation Lithography Mask Technology XII | 2005

Requirements for mask technology from the view point of SOC and FLASH memory trends (Invited Paper)

Akira Imai; Noboyuki Yoshioka; Tetsuro Hanawa; Koichiro Narimatsu; Kunihiro Hosono; Kazuyuki Suko

Semiconductor devices are making important role in our life. Many semiconductor chips will be used to every thing, and we will receive the various services anywhere anytime through a digital network. There are so many applications using semiconductor products that support such a ubiquitous era, and it is expected that mobile, automobile and PC/AV applications will have the great growth from now on. In this paper, we describe the lithography technology trend and requirements for mask technology from the view point of SOC and FLASH memory trend. From the device development trend, it is expected that FLASH memory become driving force of lithography technology. To realize hp45nm node and beyond, the installation of hyper-NA ArF-immersion tools with low-k1 technique is the key issue. With this, DFM (Design For Manufacturability) is the key technology and a continuous approach of systematic DFM technique is important in order to reduce chip cost. Also, Mask DFM is needed to realize cost-effective low-k1 process and it drives reasonable mask cost and TAT. In order to reduce mask cost in device development and small volume production, we expect greatly that maskless lithography (ML2) become a leading tool in lithography.


Optical Microlithography XVIII | 2005

Super diffraction lithography (SDL): fine random line pattern formation by single-exposure with binary mask

Shuji Nakao; J. Abe; Akihiro Nakae; Akira Imai; Koichiro Narimatsu; Kazuyuki Suko

A novel RET named Super Diffraction Lithography (SDL), which enables 90~80 nm random line by single exposure in KrF wavelength, has been developed. A pair of bright lines, which sandwiches binary or Atten-PSM line and is surrounded by attenuating non-phase-shifting (Atten-NPS) area, is formed on a mask. The Atten-NPS area of the mask is composed with a small pad array whose pitch is finer than the resolution limit of projection optics. Then, this mask can be fabricated with a single layer patterning. When this mask is illuminated by an obliquely incident light with a specific incident angle, very sharp dark line image is formed at center of the bright lines. Because the outside of the pair is Atten-NPS area, image intensity for this area can become much higher than a slice level of the central dark line image, resulting in no resist pattern at the outside of the pair. By application of a sub-resolution assist feature (SRAF) for semi-dense pattern, fine line can be imaged throughout pattern pitch. Then, utilizing SDL, very fine random line can be formed by SINGLE EXPOSURE of SIMPLE STRUCTURE MASK. In KrF exposure at NA=0.82, 90 nm line with pitch of down to 240 nm can be achieved by a binary mask. Using 6% transmission Atten-PSM, 80 nm becomes possible. Moreover, 50 nm isolated line becomes feasible in KrF exposure by application of high transmission Atten-PSM. We believe that SDL is the most cost-effective and easily applicable RET for gate pattern formation in advanced logic devices.


Archive | 2000

Photomask including auxiliary mark area, semiconductor device and manufacturing method thereof

Masahiko Takeuchi; Koichiro Narimatsu; Atsushi Ueno


international symposium on semiconductor manufacturing | 2008

Focus and CD Control by Scatterometry Measurements for 65/45 nm Node Devices

Toshihide Kawachi; Hidekimi Fudo; Shigenori Yamashita; Koichiro Narimatsu; Keizo Yamamoto; Toshiharu Miwa; Shunichi Matsumoto

Collaboration


Dive into the Koichiro Narimatsu's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge