Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Sri Harsha Gade is active.

Publication


Featured researches published by Sri Harsha Gade.


international symposium on quality electronic design | 2016

Power efficient router architecture for wireless Network-on-Chip

Hemanta Kumar Mondal; Sri Harsha Gade; Raghav Kishore; Shashwat Kaushik; Sujay Deb

Wireless Networks-on-Chip (WNoCs) offer the most promising solution to overcome limitations of conventional Networks-on-Chip (NoCs) for long distance communications in future many-core processors. Detailed investigations of NoC with wireless interfaces (WIs) highlight their many benefits. But, static power consumption associated with WI components and routers, in general, is considerably high. By selectively turning off unused/ rarely used routers, static power consumption can be reduced. Additionally, in WNoCs with broadcast-capable antennas, only a single active wireless communication is allowed and many WIs remain inactive for longer duration and dissipate static power. To avoid this, we propose power-efficient fine-grained router architecture (FGRA) to keep power consumption to minimum. We also minimize wake-up latency by using an approach to wake-up a power-gated transceiver whenever signal is detected at its receiving antenna. We also propose non-blocking bypass channel (NBBC) to bypass power-gated routers and minimize routing latency and contention. We evaluate our proposed router design in presence of real and synthetic traffic patterns. FGRA saves up to 88.76% (per base router) and 62.50% (per WI) of static power as compared to regular architecture with 2.42% area overhead. Based on the utilization, FGRA also reduces overall network power consumption by 37.20% on average with negligible performance degradation. Design considerations for augmenting existing NoCs with these power-gated routers and corresponding overheads are also presented.


IEEE Transactions on Multi-Scale Computing Systems | 2017

Interference-Aware Wireless Network-on-Chip Architecture Using Directional Antennas

Hemanta Kumar Mondal; Sri Harsha Gade; Shahriar Shamim; Sujay Deb; Amlan Ganguly

Wireless Network-on-Chip (WiNoC) has been recently introduced for addressing the scalability limitations of conventional multi-hop NoC architectures. Existing WiNoC architectures generally use millimeter-wave antennas without significant directional gains, along with token passing protocol to access the shared wireless medium. This limits the achievable performance benefits since only one wireless pair can communicate at a time. It is also not practical in the immediate future to arbitrarily scale up the number of non-overlapping channels by designing transceivers operating in disjoint frequency bands in the millimeter-wave spectrum commonly adopted for on-chip wireless interconnects. Consequently, we explore the use of directional antennas whereby multiple wireless interconnect pairs can communicate simultaneously. However, concurrent wireless communications can result in interference. This can be minimized in NoC by optimal placement of wireless interfaces (WIs) to maximize performance while minimizing interference. To address this, we propose an interference-aware WIs placement algorithm with routing strategy for WiNoC architecture by incorporating directional planar log-periodic antennas (PLPAs). This directional wireless network-on-chip (DWiNoC) architecture enables point-to-point links between transceivers and hence multiple wireless links can operate at the same time without interference.


IEEE Transactions on Computers | 2017

HyWin: Hybrid Wireless NoC with Sandboxed Sub-Networks for CPU/GPU Architectures

Sri Harsha Gade; Sujay Deb

Heterogeneous System Architectures (HSA) that integrate cores of different architectures (CPU, GPU, etc.) on single chip are gaining significance for many class of applications to achieve high performance. Networks-on-Chip (NoCs) in HSA are monopolized by high volume GPU traffic, penalizing CPU application performance. In addition, building efficient interfaces between systems of different specifications while achieving optimal performance is a demanding task. Homogeneous NoCs, widely used for many core systems, fall short in meeting these communication requirements. To achieve high performance interconnection in HSA, we propose HyWin topology using mm-wave wireless links. The proposed topology implements sandboxed heterogeneous sub-networks, each designed to match needs of a processing subsystem, which are then interconnected at second level using wireless network. The sandboxed sub-networks avoid conflict of network requirements, while providing optimal performance for their respective subsystems. The long range wireless links provide low latency and low energy inter-subsystem network to provide easy access to memory controllers, lower level caches across the entire system. By implementing proposed topology for CPU/GPU HSA, we show that it improves application performance by 29 percent and reduces latency by 50 percent, while reducing energy consumption by 64.5 percent and area by 17.39 percent as compared to baseline mesh.


design, automation, and test in europe | 2016

Adaptive multi-voltage scaling in wireless NoC for high performance low power applications

Hemanta Kumar Mondal; Sri Harsha Gade; Raghav Kishore; Sujay Deb

Networks-on-Chip (NoCs) have garnered significant interest as communication backbone for multicore processors used across a wide range of fields that demand higher computation capability. Wireless NoCs (WNoCs) by augmenting single hop, long range wireless links with wired interconnects; offer the most promising solution to reduce multi-hop long distance communication bottlenecks and opens up innumerable possibilities of topological innovations that are not possible otherwise. However, energy consumption in routers along with Wireless Interface (WI) components still remains considerably high. Specifically for large systems with many nodes in the network, a significant amount of energy is consumed by the communication infrastructure (routers, links, WIs). The usage of the routers and WIs are application dependent and for most cases performance requirements can be met without operating the whole communication infrastructure to its maximum limit. Dynamic reconfigurable systems that can switch between both high performance and low power modes can cater to wide range of applications. In this paper, we propose a novel design methodology for energy efficient WNoC using Adaptive Multi-voltage Scaling (AMS) that reduces dynamic power consumption, along with power gating to prevent static power dissipation in routers and WIs. We evaluate our proposed design in presence of real and synthetic traffic patterns. This approach saves up to 62.50% of static power with less than 1% area overhead. In different traffic scenarios, the proposed WNoC reduces overall packet energy dissipation up to 35% on average compared to a regular WNoC, without significant performance degradation. Design considerations for augmenting existing WNoCs with these routers and corresponding overheads are also presented.


international conference on vlsi design | 2015

A Hardware and Thermal Analysis of DVFS in a Multi-core System with Hybrid WNoC Architecture

Sri Harsha Gade; Hemanta Kumar Mondal; Sujay Deb

Evolution of CMOS manufacturing technologies has led to billions of transistors per chip, many core and System-on-Chip (SoC) realizations in current day systems. But maintaining this trend is a significant challenge due to the power and thermal issues. As the devices are scaled and number of transistors on the chip increases, the power density across the chip increases rapidly with each generation. This further results in increased system temperature that can cause damage to the system. Dynamic Voltage/Frequency Scaling (DVFS) schemes reduce the power consumption without significant loss in system performance. In this paper, we design and evaluate a centralized DVFS control mechanism for multi core systems and discuss its merits and overheads. One of the major issues with centralized controller implementation is the long delays associated with signal transmission between the controller and different clusters in the system. To alleviate this issue, we use wireless interfaces for transmitting controller signals along with the data signals. Towards this goal, we design a dual band transceiver & antenna for the wireless interfaces and present their implementation details. Finally the thermal profile of the proposed DVFS mechanism is analyzed and compared with normal operating conditions.


networks on chips | 2015

Achievable Performance Enhancements with mm-Wave Wireless Interconnects in NoC

Sri Harsha Gade; Sujay Deb

On-chip wireless links have been shown to overcome the performance limitations of wired interconnects in Networks-on-chip (NoCs). However actual performance gains obtained are largely dependent on efficient data transmission between on-chip antennas. An analysis of on-chip wireless channel shows that propagation is highly affected by different components of the chip. In this work, we include the effects of chip environment on wireless propagation to obtain a more realistic performance evaluation of Wireless NoC (WiNoC). Using these, we derive the latency and energy characteristics of WiNoC and quantify the achievable performance. Results presented show wireless received signal with on-chip effects considered and compare them with that of a wired link.


international conference on vlsi design | 2017

Energy-Efficient Transceiver for Wireless NoC

Hemanta Kumar Mondal; Shashwat Kaushik; Sri Harsha Gade; Sujay Deb

Network-on-Chip (NoC) with wireless interconnects is one of the potential solutions to overcome limitations of conventional NoC architectures over far-apart communications in multicore systems. Detailed investigations of Wireless NoC (WNoC) highlight their many benefits. But, idle-state power consumption associated with WI interfaces and routers is significantly high. To reduce the idle-state power consumption, a power gating technique can be incorporated with WNoC architectures. However, power gating can lead to adverse effects like IR drop, short-term sleep/wake up that increase the transient energy consumption specially for burst traffic, and also increases the cumulative settling time to get exact output response from the power gated components. To address these problems, we propose an energy-efficient transceiver for WNoC architecture using power gating. In this paper, we also present the details of techniques that minimizes the impact of power gating on performance. Proposed architecture saves up to 62.50% of idle-state power of WI as compared with traditional WNoC with minimum impacts of power gating method. This saves the overall packet energy on average by 49% compared to regular WNoC. Design considerations for augmenting power gating in WNoC and corresponding overheads are also presented.


international conference on acoustics, speech, and signal processing | 2015

Design of signal-matched critically sampled FIR rational filterbank

Anupriya Gogna; Sri Harsha Gade; Anubha Gupta

Wavelet transform is used for efficient signal analysis in various applications. The traditional wavelet system is implemented using integer decimation factors, although frequency tiling offered by rational decimation may better adapt to signal characteristics. In this paper, we propose a design methodology for signal-matched filterbank (FB) with rational decimation factors that achieves perfect reconstruction with FIR filters. We have applied the proposed design on some real world signals. With the proposed design, we obtain a more compressible transform domain representation than the dyadic standard wavelet transforms.


international green and sustainable computing conference | 2015

Power- and performance-aware fine-grained reconfigurable router architecture for NoC

Hemanta Kumar Mondal; Sri Harsha Gade; Raghav Kishore; Sujay Deb

Networks-on-Chip (NoCs) have been well accepted for energy efficient on-chip communications for multicore systems. But, a NoC router consumes considerable leakage power even when not in use. For large scale systems, number of unused routers at any time is reasonably high. A significant amount of this leakage power can be saved by applying fine-grained power-gating to unused routers in a NoC. In this paper, we propose fine-grained reconfigurable router architecture (FGRRA) for energy efficient on-chip communications. We also propose strategies to avoid situations where power-gated routers (PGRs) block forward path during packet transfer or isolate a destination router. This is achieved by using additional channels referred as non-blocking bypass channels (NBBC). We evaluate our proposed router design in presence of real and synthetic traffic patterns. FGRRA saves up to 88.76% of leakage power with 2.42% area overhead as compared with baseline router. Based on the utilization, FGRRA also reduces the total network power consumption by 36.18% on average without significant performance degradation. Design considerations for augmenting existing power-gated routers with this technique and corresponding overheads are also presented.


Integration | 2018

Millimeter wave wireless interconnects in deep submicron chips: Challenges and opportunities

Sri Harsha Gade; Shobha Sundar Ram; Sujay Deb

Abstract On-chip wireless links offer the most promising solution to improve performance over traditional Networks-on-Chip (NoCs). Though, significant advancements are being made to support intra-chip wireless communication, a complete understanding of on-chip wireless channel, that facilitates design optimization of transceivers and antennas is still lacking. In this work, we derive on-chip wireless channel characteristics, taking into account antenna implementation, near field and multipath propagation effects. These observations are then used to study impact on wireless NoC performance, packet energy, delay and bandwidth. The study provides crucial insights for circuit designers to tune transceiver and antenna specifications to achieve desired network performance.

Collaboration


Dive into the Sri Harsha Gade's collaboration.

Top Co-Authors

Avatar

Sujay Deb

Indraprastha Institute of Information Technology

View shared research outputs
Top Co-Authors

Avatar

Hemanta Kumar Mondal

Indraprastha Institute of Information Technology

View shared research outputs
Top Co-Authors

Avatar

Raghav Kishore

Indraprastha Institute of Information Technology

View shared research outputs
Top Co-Authors

Avatar

Shashwat Kaushik

Indraprastha Institute of Information Technology

View shared research outputs
Top Co-Authors

Avatar

Mitali Sinha

Indraprastha Institute of Information Technology

View shared research outputs
Top Co-Authors

Avatar

Sidhartha Sankar Rout

Indraprastha Institute of Information Technology

View shared research outputs
Top Co-Authors

Avatar

Wazir Singh

Indraprastha Institute of Information Technology

View shared research outputs
Top Co-Authors

Avatar

Anubha Gupta

Indraprastha Institute of Information Technology

View shared research outputs
Top Co-Authors

Avatar

Anupriya Gogna

Indraprastha Institute of Information Technology

View shared research outputs
Top Co-Authors

Avatar

Muni Agrawal

Indraprastha Institute of Information Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge