Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Sujit Dey is active.

Publication


Featured researches published by Sujit Dey.


IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 1999

Register transfer level power optimization with emphasis on glitch analysis and reduction

Anand Raghunathan; Sujit Dey; Niraj K. Jha

We present design-for-low-power techniques for register-transfer level (RTL) controller/data path circuits. We analyze the generation and propagation of glitches in both the control and data path parts of the circuit. In data-flow intensive designs, glitching power is primarily due to the chaining of arithmetic functional units. In control-flow intensive designs, on the other hand, multiplexer networks and registers dominate the total circuit power consumption, and the control logic can generate a significant amount of glitches at its outputs, which in turn propagate through the data path to account for a large portion of the glitching power in the entire circuit. Our analysis also highlights the relationship between the propagation of glitches from control signals and the bit-level correlation between data signals. Based on the analysis, we develop techniques that attempt to reduce glitching power consumption by minimizing propagation of glitches in the RTL circuit. Our techniques include restructuring multiplexer networks (to enhance data correlations and eliminate glitchy control signals), clocking control signals, and inserting selective rising/falling delays, in order to kill the propagation of glitches from control as well as data signals. In addition, we present a procedure to automatically perform the well-known power-reduction technique of clock gating through an efficient structural analysis of the RTL circuit, while avoiding the introduction of glitches on the clock signals. Application of the proposed power optimization techniques to several RTL circuits shows significant power savings, with negligible area and delay overheads.


design automation conference | 1993

Critical Path Minimization Using Retiming and Algebraic Speed-Up

Zia Iqbal; Miodrag Potkonjak; Sujit Dey; Alice C. Parker

The power of retiming is often limited by the underlying topology of a computational structure. We combine the power of retiming with a complete set of algebraic transformations in an iterative improvement framework, where retiming and algebraic speed-up algorithms are successively applied, so that the latter enables the former. The key part of the approach is a new algebraic speed-up algorithm being used for the first time in high-level synthesis for transformations of algebraic expressions so that an arbitrary set of input arrival times and output required times are satisfied. Since the new method moves delays forward only and retiming is done locally and very infrequently, it also always calculates the new initial state efficiently. The proposed approach has yielded results better or equal to the best previously published on all benchmark examples and on several novel real-life examples.


international conference on computer aided design | 1995

Design-for-debugging of application specific designs

Miodrag Potkonjak; Sujit Dey; Kazutoshi Wakabayashi

We address the problem of considering debugging requirements during high level synthesis by providing low-cost hardware support and scheduling and assignment methods for ensuring controllability and observability of the user specified variables. Two key conceptually new design ideas that enable efficient debugging are developed: pipelining of debugging variables for improving their scheduling and assignment freedom and use of I/O buffers for improving resource utilization of I/O pins. The provably optimal bounds for the maximum cardinality of the set of controllable and observable variables for a given design specification are derived. A polynomial time complexity synthesis algorithm for achieving the bounds is developed. The minimization of hardware overhead gives rise to a combinatorial optimization problem which is solved using a non-greedy heuristic algorithm. The effectiveness of the proposed Design-for-Debugging approach is demonstrated on several examples.


international conference on computer aided design | 1994

Provably correct high-level timing analysis without path sensitization

Subhrajit Bhattacharya; Sujit Dey; Franc Brglez

This paper addresses the problem of true delay estimation during high level design. The existing delay estimation techniques either estimate the topological delay of the circuit which may be pessimistic, or use gate-level timing analysis for calculating the true delay, which may be prohibitively expensive. We show that the paths in the implementation of a behavioral specification can be partitioned into two sets, SP and UP. While the paths in SP can affect the delay of the circuit, the paths in UP cannot. Consequently, the true delay of the resulting circuit can be computed by just measuring the topological delay of the paths in SP, eliminating the need for the computationally intensive process of path sensitization. Experimental results show that high-level true delay estimation can be done very fast, even when gate-level true delay estimation becomes computationally infeasible. The high-level delay estimates are verified by comparing with delay estimates obtained by gate-level timing analysis on the actual implementation.


european design and test conference | 1997

An RTL methodology to enable low overhead combinational testing

Subhrajit Bhattacharya; Sujit Dey; Bhaskar Sengupta

This paper introduces a low overhead test methodology, RT-SCAN, applicable to RT Level designs. The methodology enables using combinational test patterns for testing the circuit, as done by traditional full-scan or parallel-scan schemes. However, by exploiting existing connectivity of registers through multiplexors and functional units, RT-SCAN reduces area overhead and test application times significantly compared to full-scan and parallel-scan schemes. Unlike most of the existing high-level test synthesis and test generation schemes which can be most effectively applied to data-flow/arithmetic intensive designs like DSPs and processor designs, the RT-SCAN test scheme can be applied to designs from any application domain, including control-flow intensive designs.


Journal of Electronic Testing | 1995

Design of testable sequential circuits by repositioning flip-flops

Sujit Dey; Srimat T. Chakradhar

This paper presents a technique to enhance the testability of sequential circuits by repositioning flip-flops. A novel retiming for testability technique is proposed that reduces cycle lengths in the dependency graph, converts sequential redundancies into combinational redundancies, and yields retimed circuits that usually require fewer scan flip-flops to break all cycles (except self-loops) as compared to the original circuit. Our technique is based on a new minimum cost flow formulation that simultaneously considers the interactions among all strongly connected components (SCCs) of the circuit graph to minimize the number of flip-flops in the SCCs. A circuit graph has a vertex for every gate, primary input and primary output. If gatea has a fanout to gateb, then the circuit graph has an arc from vertexa to vertexb. Experimental results on several large sequential circuits demonstrate the effectiveness of the proposed retiming for testability technique in reducing the number of partial scan flip-flops.


IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 1996

Fast true delay estimation during high level synthesis

Subhrajit Bhattacharya; Sujit Dey; Franc Brglez

This paper addresses the problem of true delay estimation during high level design. The true delay is the delay of the longest sensitizable path in the resulting circuit, as opposed to the topological delay which is the delay of the longest path in the circuit. The existing delay estimation techniques either estimate the topological delay, which may be pessimistic if the longest path is unsensitizable or false, or estimate the true delay using gate-level timing analysis which may be prohibitively expensive. Resource sharing in high level synthesis can create false paths in the circuit implementation. Hence, determining the clock period using topological delay can be unduly conservative, resulting in excessive hardware to meet tight timing specifications. In this paper, we introduce an efficient technique to compute an estimate of the true delay. The proposed technique relies on partitioning the paths in the circuit and topological delay computation, and not on path sensitization. The paths in the implementation are partitioned into two sets given the high level information on scheduling and resource sharing: the complete determining path set (CDP/sub R/) and the nondetermining path set (NDP/sub R/). We prove that the delay of the longest path in CDP/sub R/ is lower bounded by the true delay and upper bounded by the topological delay of the circuit. Consequently, an estimate of the true delay of the resulting circuit can be computed by measuring the topological delay of the longest path in CDP/sub R/. We have developed a Functional delay ESTimation tool (FEST). Experimental results on a set of benchmarks reveal the following: approximately 50% of all paths are in NDP/sub R/ and can be ignored for true delay estimation, and the true delay estimates are on the average 15% less than the topological delay. The high level true delay estimates are accurate, as verified by comparing with the true delays obtained by gate-level timing analysis on actual implementations. Furthermore, results reveal that high level true delay estimation can be done very fast, even when gate-level true delay estimation becomes infeasible.


international conference on acoustics, speech, and signal processing | 1994

Behavioral synthesis of low-cost partial scan designs for DSP applications

Sujit Dey; Miodrag Potkonjak; Rabindra K. Roy

Partial scan is a popular design for testability technique for cost-effective sequential automatic test pattern generation (ATPG). An efficient partial scan approach selects flip-flops (FFs) in the minimum feedback vertex set (MFVS) of the FF dependency graph, so that loops are broken. Through an analysis of the sources of loops in the data path, this paper proposes a new high-level synthesis methodology to synthesize DSP designs which have low-cardinality MFVS, thereby reducing the cost of partial scan significantly. A test efficiency of 100% could be achieved for all designs synthesized by the proposed approach, requiring a significantly less number of FFs to be scanned compared to the original implementations.<<ETX>>


Archive | 1998

Architecture-Level Power Estimation

Anand Raghunathan; Niraj K. Jha; Sujit Dey

The register-transfer or architecture level is the design entry point for most designs today. Power estimation at this level of the design hierarchy is extremely important in order to (i) verify that power budgets are roughly met by the different parts of the design and the entire design, and (ii) evaluate the effect of various high-level optimizations, which have been shown to have a much more significant impact on power than lower-level optimizations. Architecture-level power estimation tools typically trade off some amount of accuracy for a drastic improvement in efficiency compared to low-level power estimation tools. The improved efficiency is due to the elimination of the need to obtain a gate- or transistor-level netlist, and the reduced complexity of analysis of RTL designs as compared to lower-level netlists. RTL design descriptions include various macroblocks like ALUs, vector logic operators, memories, register files, multiplexers, etc., (which may be instantiated from a component library), as well as some amount of random or control logic, which may often be described functionally (i.e. without complete information about structure). This chapter describes the techniques that are used in architecture-level power estimation tools, including analytical power models, empirical activity and power macromodeling, sampling-based estimation, and models for control logic.


Archive | 1998

High-Level Synthesis for Low Power

Anand Raghunathan; Niraj K. Jha; Sujit Dey

High-level synthesis (also called behavioral synthesis or architectural synthesis) refers to the process of transforming a functional or behavioral specification of a design into a structural RTL implementation. A typical high-level synthesis process involves several subtasks including behavioral transformations, module selection, clock period selection, scheduling, and resource sharing, and RTL circuit generation. High-level synthesis has a large impact on power consumption, which, if properly exploited, can lead to large power savings. This chapter analyzes the effect of various high-level synthesis subtasks on power, and presents various techniques that can be used to optimize power consumption during high-level synthesis.

Collaboration


Dive into the Sujit Dey's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Franc Brglez

North Carolina State University

View shared research outputs
Top Co-Authors

Avatar

Alice C. Parker

University of Southern California

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Zia Iqbal

University of Southern California

View shared research outputs
Top Co-Authors

Avatar

Bhaskar Sengupta

NEC Corporation of America

View shared research outputs
Researchain Logo
Decentralizing Knowledge