Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Tadatoshi Tomita is active.

Publication


Featured researches published by Tadatoshi Tomita.


Proceedings of SPIE | 2013

Dissipative particle dynamics study on directed self-assembly in holes

T. Nakano; M. Matsukuma; K. Matsuzaki; Makoto Muramatsu; Tadatoshi Tomita; Takahiro Kitano

We report morphology of cylinder of diblock copolymers (BCP), which consist of polymer A and B, in cylindrical prepattern holes by dissipative particle dynamics simulation in order to predict optimal cylinder profile. Configuration of cylinder which consists of polymer B changes along with change of affinity of underlayer and guide wall for BCP. In the case of underlayer, neutral to both the polymer species shows the most stable cylinder shape. When affinity converts to either polymer, cylinder shape gets distorted. In the case of intergrading guide wall condition from A wet to B wet for a certain hole CD, polymer B, that constitutes cylinder, gradually loosen and stack on the guide eventually. Moreover cylinder forms again for B wet larger hole. Free energy for hole CD is also investigated and the profile shows A wet wall and B wet wall are suitable for hole shrink in a narrow and wide range of hole CD, respectively. Because free energy of A wet wall varies widely for hole CD change. In contrast, free energy of B wet wall exhibits no significant changes and the profiles signify that cylinder shapes relatively stable in wider range than A wet wall.


Proceedings of SPIE | 2014

Simulation analysis of directed self-assembly for hole multiplication in guide pattern

Makoto Muramatsu; T. Nakano; Tadatoshi Tomita; K. Yamamoto; K. Matsuzaki; Takahiro Kitano

In this report, morphology of cylinders by block copolymer (BCP) in the corner rounded rectangle guide patterns is analyzed by simulation and compared with experimental results. In the case of the hole-multiplication, selection the guide pattern size and the affinity of wall and under layer is necessary for stable micro structure. To search the good guide conditions, Ohta-Kawasaki (OK) model and dissipative particle dynamics (DPD) are used. OK model is well known as low cost simulation method, therefore it is expected to use for searching the good guide area roughly from wide range. DPD is one of the strong candidates for DSA simulation, and it is used for prediction of the micro structure. As results, the guide size area which has two PMMA cylinders by 2D OK model seems consistent with experimental results, 3D micro structure by OK model and DPD are comparable, 3D simulations have good agreements with experimental results observed by CD-SEM and STEM. Especially two cylinders connected each other at some point predicted by 3D simulation is observed actually. These simulation approaches will be important to decide the lithography mask design, film stack and pre-treatment conditions for more complex multiplication process, for example, the cut mask application.


Proceedings of SPIE | 2014

High-volume manufacturing equipment and processing for directed self-assembly applications

Mark Somervell; Takashi Yamauchi; Soichiro Okada; Tadatoshi Tomita; Takanori Nishi; Etsuo Iijima; T. Nakano; Takumi Ishiguro; Seiji Nagahara; Hiroyuki Iwaki; Makiko Dojun; Mariko Ozawa; Koichi Yatsuda; Toshikatsu Tobana; Ainhoa Romo Negreira; Doni Parnell; Shinchiro Kawakami; Makoto Muramatsu; Benjamen M. Rathsack; Kathleen Nafus; Jean-Luc Peyre; Takahiro Kitano

Directed Self-Assembly (DSA) is one of the most promising technologies for scaling feature sizes to 16 nm and below. Both line/space and hole patterns can be created with various block copolymer morphologies, and these materials allow for molecular-level control of the feature shapes—exactly the characteristics that are required for creating high fidelity lithographic patterns. Over the past five years, the industry has been addressing the technical challenges of maturing this technology by addressing concerns such as pattern defectivity, materials specifications, design layout, and tool requirements. Though the learning curve has been steep, DSA has made significant progress toward implementation in high-volume manufacturing. Tokyo Electron has been focused on the best methods of achieving high-fidelity patterns using DSA processing. Unlike other technologies where optics and photons drive the formation of patterns, DSA relies on surface interactions and polymer thermodynamics to determine the final pattern shapes. These phenomena, in turn, are controlled by the processing that occurs on clean-tracks, etchers, and cleaning systems, and so a host of new technology has been developed to facilitate DSA. In this paper we will discuss the processes and hardware that are emerging as critical enablers for DSA implementation, and we will also demonstrate the kinds of high fidelity patterns typical of mainstream DSA integrations.


Proceedings of SPIE | 2015

Driving DSA into volume manufacturing

Mark Somervell; Takashi Yamauchi; Soichiro Okada; Tadatoshi Tomita; Takanori Nishi; Shinichiro Kawakami; Makoto Muramatsu; Etsuo Iijima; Vinayak Rastogi; T. Nakano; Fumiko Iwao; Seiji Nagahara; Hiroyuki Iwaki; Makiko Dojun; Koichi Yatsuda; Toshikatsu Tobana; Ainhoa Romo Negreira; Doni Parnell; Benjamen M. Rathsack; Kathleen Nafus; Jean-Luc Peyre; Takahiro Kitano

Directed Self-Assembly (DSA) is being extensively evaluated for application in semiconductor process integration.1-7 Since 2011, the number of publications on DSA at SPIE has exploded from roughly 26 to well over 80, indicating the groundswell of interest in the technology. Driving this interest are a number of attractive aspects of DSA including the ability to form both line/space and hole patterns at dimensions below 15 nm, the ability to achieve pitch multiplication to extend optical lithography, and the relatively low cost of the processes when compared with EUV or multiple patterning options. Tokyo Electron Limited has focused its efforts in scaling many laboratory demonstrations to 300 mm wafers. Additionally, we have recognized that the use of DSA requires specific design considerations to create robust layouts. To this end, we have discussed the development of a DSA ecosystem that will make DSA a viable technology for our industry, and we have partnered with numerous companies to aid in the development of the ecosystem. This presentation will focus on our continuing role in developing the equipment required for DSA implementation specifically discussing defectivity reduction on flows for making line-space and hole patterns, etch transfer of DSA patterns into substrates of interest, and integration of DSA processes into larger patterning schemes.


Proceedings of SPIE | 2015

Computational analysis of hole placement errors for directed self-assembly

K. Yamamoto; T. Nakano; Makoto Muramatsu; Tadatoshi Tomita; K. Matsuzaki; Takahiro Kitano

We report computational study for directed self-assembly (DSA) on morphologies’ dislocation caused by block copolymers’ (BCPs’) thermal fluctuation in grapho-epitaxial cylindrical guides. The dislocation factor expressed as DSA-oriented placement errors (DSA-PEs) was numerically evaluated by historical data acquisition utilizing dissipative particle dynamics simulation. Calculated DSA-PEs was compared with experimental results on two kinds of guide pattern, resist guide with no surface modifications (REF guide) and resist guide with polystyrene coated (PS-brush guide). Vertical distribution of DSA-PEs within the cylindrical guides was calculated and relatively high DSA-PEs near top region was deduced particularly in REF guide. The tendency of experimental DSA-PEs was well explained by the calculation including a fluctuation parameter on the wall particles. In PS-brush guide, calculated DSA-PEs was drastically increased with becoming the guide more fluctuating. This result indicates to fabricate hard and steady guide condition in PS-brush guide so as to achieve better placements. From the variety of guide critical dimension (CD) computation, it is suggested that smaller guide CD is better to obtain good placements. The smallest DSA-PE value in this study was observed in PS-brush guide with smaller guide CD because of the strong restriction of BCP arrangement flexibility.


Proceedings of SPIE | 2013

DSA hole defectivity analysis using advanced optical inspection tool

Ryota Harukawa; Masami Aoki; Andrew Cross; Venkat Nagaswami; Tadatoshi Tomita; Seiji Nagahara; Makoto Muramatsu; Shinichiro Kawakami; Hitoshi Kosugi; Benjamen M. Rathsack; Takahiro Kitano; Jason Sweis; Ali Mokhberi

This paper discusses the defect density detection and analysis methodology using advanced optical wafer inspection capability to enable accelerated development of a DSA process/process tools and the required inspection capability to monitor such a process. The defectivity inspection methodologies are optimized for grapho epitaxy directed self-assembly (DSA) contact holes with 25 nm sizes. A defect test reticle with programmed defects on guide patterns is designed for improved optimization of defectivity monitoring. Using this reticle, resist guide holes with a variety of sizes and shapes are patterned using an ArF immersion scanner. The negative tone development (NTD) type thermally stable resist guide is used for DSA of a polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) block copolymer (BCP). Using a variety of defects intentionally made by changing guide pattern sizes, the detection rates of each specific defectivity type has been analyzed. It is found in this work that to maximize sensitivity, a two pass scan with bright field (BF) and dark field (DF) modes provides the best overall defect type coverage and sensitivity. The performance of the two pass scan with BF and DF modes is also revealed by defect analysis for baseline defectivity on a wafer processed with nominal process conditions.


Proceedings of SPIE | 2016

Numerical placement analysis in hole multiplication patterns for directed self-assembly

K. Yamamoto; T. Nakano; Makoto Muramatsu; H. Genjima; Tadatoshi Tomita; K. Matsuzaki; Takahiro Kitano

Placement of cylinders in hole multiplication patterns for directed self-assembly is the topic of this computational study. A hole doublet process applying a corner rounded rectangle guide is the focus of this work. Placements including morphology fluctuation can be analyzed by dissipative particle dynamics simulation. When the surface of guides and underlayers are modified from strong polymethyl methacrylate (PMMA) attractive to weak PMMA attractive, two PMMA cylinders can be contacted at the underlayer. Even when the PMMA domain had a separated morphology, hole placement errors (HPE) were similar to those with connected domains which occurred in the strong PMMA affine case. In general, HPE in longitudinal guide direction was larger than in the shorter direction. It is interesting to note that HPE in the longer direction was decreased by increasing the guide size in shorter direction. Cylinder tops likely fluctuate; cylinder middles may fluctuate as well in some cases. Means for HPE reduction were also tested computationally: reducing the guide thickness and employing dimpled structures. Decreasing guide thickness was effective for reducing HPE; however, guide thicknesses that were too thin prevented PMMA domains from forming vertical cylinders. Dimpled structures also reduced HPE. The depth of the dimple had a little influence on the distance of two holes when the guide structure was fitted with hexagonal packing for the block co-polymers.


Proceedings of SPIE | 2014

Defect analysis methodology for contact hole grapho epitaxy DSA

Ryota Harukawa; Masami Aoki; Andrew Cross; Venkat Nagaswami; Shinichiro Kawakami; Takashi Yamauchi; Tadatoshi Tomita; Seiji Nagahara; Makoto Muramatsu; Takahiro Kitano

Next-generation lithography technology is required to meet the needs of advanced design nodes. Directed Self Assembly (DSA) is gaining momentum as an alternative or complementary technology to EUV lithography. We investigate defectivity on a 2xnm patterning of contacts for 25nm or less contact hole assembly by grapho epitaxy DSA technology with guide patterns printed using immersion ArF negative tone development. This paper discusses the development of an analysis methodology for DSA with optical wafer inspection, based on defect source identification, sampling and filtering methods supporting process development efficiency of DSA processes and tools.


Archive | 2014

Use of topography to direct assembly of block copolymers in grapho-epitaxial applications

Mark H. Somervell; Makoto Muramatsu; Benjamen M. Rathsack; Tadatoshi Tomita; Hisashi Genjima; Hidetami Yaegashi; Kenichi Oyama


Archive | 2013

PATTERN FORMING METHOD, PATTERN FORMING APPARATUS, AND COMPUTER READABLE STORAGE MEDIUM

Makoto Muramatsu; Takahiro Kitano; Tadatoshi Tomita; Keiji Tanouchi

Collaboration


Dive into the Tadatoshi Tomita's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Benjamen M. Rathsack

University of Texas at Austin

View shared research outputs
Researchain Logo
Decentralizing Knowledge