Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Takeharu Motokawa is active.

Publication


Featured researches published by Takeharu Motokawa.


Photomask and Next-Generation Lithography Mask Technology XXI | 2014

High performance mask fabrication process for the next-generation mask production

Keisuke Yagawa; Kunihiro Ugajin; Machiko Suenaga; Yoshihito Kobayashi; Takeharu Motokawa; Kazuki Hagihara; Masato Saito; Masamitsu Itoh

ArF immersion lithography combined with double patterning has been used for fabricating below half pitch 40nm devices. However, when pattern size shrinks below 20nm, we must use new technology like quadruple patterning process or next generation lithography (NGL) solutions. Moreover, with change in lithography tool, next generation mask production will be needed. According to ITRS 2013, fabrication of finer patterns less than 15nm will be required on mask plate in NGL mask production 5 years later [1]. In order to fabricate finer patterns on mask, higher resolution EB mask writer and high performance fabrication process will be required. In a previous study, we investigated a potential of mask fabrication process for finer patterning and achieved 17nm dense line pattern on mask plate by using VSB (Variable Shaped Beam) type EB mask writer and chemically amplified resist [2][3]. After a further investigation, we constructed higher performance mask process by using new EB mask writer EBM9000. EBM9000 is the equipment supporting hp16nm generations photomask production and has high accuracy and high throughput. As a result, we achieved 15.5nm pattern on mask with high productivity. Moreover, from evaluation of isolated pattern, we proved that current mask process has the capability for sub-10nm pattern. These results show that the performance of current mask fabrication process have the potential to fabricate the next-generation mask.


SPIE Photomask Technology | 2013

Patterning of EUVL binary etched multilayer mask

Kosuke Takai; Takeharu Motokawa; Koji Murano; Takashi Kamo; Naoya Hayashi

Recently, development of next generation extremely ultraviolet lithography (EUVL) equipment with high-NA (Numerical Aperture) optics for less than hp10nm node is accelerated. While studying more than 0.45 NA, incident angle distribution of EUV light irradiation to mask becomes larger. It induces degradation of exposure margin to form horizontal line pattern (perpendicular to EUV light direction) because of large mask 3D effect. In order to resolve this issue, we evaluate binary etched multilayer mask structure, unlike conventional stacked absorber structure. As a result of improvement of binary etched multilayer mask process, hp40nm line and space pattern on mask (hp10nm on wafer using 4X optics) is demonstrated. This result suggests the capability of high-NA EUVL with 6inch and 4X optics with new mask structure.


SPIE Photomask Technology | 2013

Potential of mask production process for finer pattern fabrication

Keisuke Yagawa; Kunihiro Ugajin; Machiko Suenaga; Yoshihito Kobayashi; Takeharu Motokawa; Kazuki Hagihara; Masato Saito; Masamitsu Itoh

Photomask used for optical lithography has been developed for purpose of fabrication a pattern along with finer designed rules and increase the productivity. With regard to pattern fabrication on mask, EB (Electron beam) mask writer has been used because it has high resolution beam. But in producing photomask, minimum pattern size on mask is hits a peak around 40nm by the resolution limit of ArF immersion systems. This value is easy to achieve by current EB writer. So, photomask process with EB writer has gotten attached to increase turnaround time. In next generation lithography such as EUV (Extreme ultraviolet) lithography and Nano-imprint lithography, it is enable to fabricate finer pattern beyond the resolution limit of ArF immersion systems. Thereby the pattern on a mask becomes finer rapidly. According to ITRS 2012, fabrication of finer patterns less than 20nm will be required on EUV mask and on NIL template. Especially in NIL template, less than 15nm pattern will be required half a decade later. But today’s development of EB writer is aiming to increase photomask’s productivity, so we will face a difficulty to fabricate finer pattern in near future. In this paper, we examined a potential of mask production process with EB writer from the view of finer pattern fabrication performances. We succeeded to fabricate hp (half-pitch) 17nm pattern on mask plate by using VSB (Variable Shaped Beam) type EB mask writer with CAR (Chemically Amplified Resist). This result suggests that the photomask fabrication process has the potential for sub-20nm generation mask production.


Proceedings of SPIE | 2016

Improvement of sub-20nm pattern quality with dose modulation technique for NIL template production

Keisuke Yagawa; Kunihiro Ugajin; Machiko Suenaga; Shingo Kanamitsu; Takeharu Motokawa; Kazuki Hagihara; Yukiyasu Arisawa; Sachiko Kobayashi; Masato Saito; Masamitsu Ito

Nanoimprint lithography (NIL) technology is in the spotlight as a next-generation semiconductor manufacturing technique for integrated circuits at 22 nm and beyond. NIL is the unmagnified lithography technique using template which is replicated from master templates. On the other hand, master templates are currently fabricated by electron-beam (EB) lithography[1]. In near future, finer patterns less than 15nm will be required on master template and EB data volume increases exponentially. So, we confront with a difficult challenge. A higher resolution EB mask writer and a high performance fabrication process will be required. In our previous study, we investigated a potential of photomask fabrication process for finer patterning and achieved 15.5nm line and space (L/S) pattern on template by using VSB (Variable Shaped Beam) type EB mask writer and chemically amplified resist. In contrast, we found that a contrast loss by backscattering decreases the performance of finer patterning. For semiconductor devices manufacturing, we must fabricate complicated patterns which includes high and low density simultaneously except for consecutive L/S pattern. Then it’s quite important to develop a technique to make various size or coverage patterns all at once. In this study, a small feature pattern was experimentally formed on master template with dose modulation technique. This technique makes it possible to apply the appropriate exposure dose for each pattern size. As a result, we succeed to improve the performance of finer patterning in bright field area. These results show that the performance of current EB lithography process have a potential to fabricate NIL template.


Photomask Technology 2016 | 2016

High-performance fabrication process for 2xnm hole-NIL template production

Keisuke Yagawa; Machiko Suenaga; Takeharu Motokawa; Mana Tanabe; Akihiko Ando; Eiji Yamanaka; Keiko Morishita; Shingo Kanamitsu; Masato Saito; Masamitsu Itoh

UV nano imprint lithography (UV-NIL) has high-throughput and cost-effective for complex nano-scale patterns and is considered as a candidate for next generation lithography tool. In addition, NIL is the unmagnified lithography and contact transfer technique using template. Therefore, the lithography performance depends greatly on the quality of the template pattern. According to ITRS 2013, the minimum half pitch size of Line and Space (LS) pattern will reach 1x nm level within next five years. On the other hand, in hole pattern, half pith of 2x nm level will be required in five years. Pattern shrink rate of hole pattern size is slower than LS pattern, but shot counts increase explosively compared to LS pattern due to its data volume. Therefore, high throughput and high resolution EB lithography process is required. In previous study, we reported the result of hole patterning on master template which has high resolution resist material and etching process. This study indicated the potential for fabricating 2xnm hole master template [1]. After above study, we aim at fabricating the good quality of 2xnm master template which is assured about defect, CD uniformity(CDU), and Image placement(IP). To product high quality master template, we develop not only high resolution patterning process but also high accuracy quality assurance technology. We report the development progress about hole master template production.


Photomask Japan 2016: XXIII Symposium on Photomask and Next-Generation Lithography Mask Technology | 2016

High resolution hole patterning with EB lithography for NIL template production

Mana Tanabe; Keisuke Yagawa; Takeharu Motokawa; Kazuki Hagihara; Machiko Suenaga; Masato Saito; Shingo Kanamitsu; Masamitsu Itoh

Nano imprint lithography (NIL) is one to one lithography and contact transfer technique using template. Therefore, the lithography performance depends greatly on the quality of the template pattern. In this study, we investigated the resolution and the defect level for hole patterning using chemical amplified resists (CAR) and VSB type EB writer, EBM9000. To form smaller pattern with high quality, high resolution resist process and high sensitivity etching process are needed. After these elements were optimized, we succeeded to form 24 nm dense hole pattern on template. In general, it is difficult to suppress the defect density in a large area because of fogging effect and process loading and so forth. However, from the view point of defect quality, 26 nm hole pattern is achieved to form with practical level in a large area. Therefore, we indicate the capability of forming 26 nm hole master template which will be required in 2019 from ITRS2013. These results show that this process is possible to obtain less than 30 nm hole pattern without enormous writing time. As future work, we will imprint master to replica template and check the printability.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

High performance photomask technology with the advanced binary film

Koji Murano; Kosuke Takai; Kunihiro Ugajin; Machiko Suenaga; Takeharu Motokawa; Masato Saito; Tomotaka Higaki; Osamu Ikenaga; Hidehiro Watanabe

A new photomask technology with the Advanced Binary Film (ABF) by HOYA has been established. The film of relatively low thickness is expected to show the best lithography performance. The simple film structure of thin film of chemically amplified resist, as a mask layer for etching, on the thin ABF film enables us to obtain sub-50nm small features in a photomask. The thinness of the film also helps to avoid pattern collapse in cleaning steps. The photomask with ABF expecting the best currently available lithography performance shows the best achievable durability for use in ArF lithography process steps and the best attainable feasibility in the fabrication process steps for leading edge photomasks.


Archive | 2011

METHOD FOR MANUFACTURING REFLECTIVE MASK AND APPARATUS FOR MANUFACTURING REFLECTIVE MASK

Tomoaki Yoshimori; Makoto Karyu; Takeharu Motokawa; Kosuke Takai; Yoshihisa Kase


Archive | 2006

Photomask plasma etching apparatus, etching method, and photomask forming method

Takeharu Motokawa; Junichi Tonotani


Archive | 2016

PATTERNING METHOD, AND TEMPLATE FOR NANOIMPRINT AND PRODUCING METHOD THEREOF

Hideaki Sakurai; Machiko Suenaga; Takeharu Motokawa; Masatoshi Terayama

Collaboration


Dive into the Takeharu Motokawa's collaboration.

Researchain Logo
Decentralizing Knowledge