Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kazuki Hagihara is active.

Publication


Featured researches published by Kazuki Hagihara.


Photomask and Next-Generation Lithography Mask Technology XXI | 2014

High performance mask fabrication process for the next-generation mask production

Keisuke Yagawa; Kunihiro Ugajin; Machiko Suenaga; Yoshihito Kobayashi; Takeharu Motokawa; Kazuki Hagihara; Masato Saito; Masamitsu Itoh

ArF immersion lithography combined with double patterning has been used for fabricating below half pitch 40nm devices. However, when pattern size shrinks below 20nm, we must use new technology like quadruple patterning process or next generation lithography (NGL) solutions. Moreover, with change in lithography tool, next generation mask production will be needed. According to ITRS 2013, fabrication of finer patterns less than 15nm will be required on mask plate in NGL mask production 5 years later [1]. In order to fabricate finer patterns on mask, higher resolution EB mask writer and high performance fabrication process will be required. In a previous study, we investigated a potential of mask fabrication process for finer patterning and achieved 17nm dense line pattern on mask plate by using VSB (Variable Shaped Beam) type EB mask writer and chemically amplified resist [2][3]. After a further investigation, we constructed higher performance mask process by using new EB mask writer EBM9000. EBM9000 is the equipment supporting hp16nm generations photomask production and has high accuracy and high throughput. As a result, we achieved 15.5nm pattern on mask with high productivity. Moreover, from evaluation of isolated pattern, we proved that current mask process has the capability for sub-10nm pattern. These results show that the performance of current mask fabrication process have the potential to fabricate the next-generation mask.


SPIE Photomask Technology | 2013

Potential of mask production process for finer pattern fabrication

Keisuke Yagawa; Kunihiro Ugajin; Machiko Suenaga; Yoshihito Kobayashi; Takeharu Motokawa; Kazuki Hagihara; Masato Saito; Masamitsu Itoh

Photomask used for optical lithography has been developed for purpose of fabrication a pattern along with finer designed rules and increase the productivity. With regard to pattern fabrication on mask, EB (Electron beam) mask writer has been used because it has high resolution beam. But in producing photomask, minimum pattern size on mask is hits a peak around 40nm by the resolution limit of ArF immersion systems. This value is easy to achieve by current EB writer. So, photomask process with EB writer has gotten attached to increase turnaround time. In next generation lithography such as EUV (Extreme ultraviolet) lithography and Nano-imprint lithography, it is enable to fabricate finer pattern beyond the resolution limit of ArF immersion systems. Thereby the pattern on a mask becomes finer rapidly. According to ITRS 2012, fabrication of finer patterns less than 20nm will be required on EUV mask and on NIL template. Especially in NIL template, less than 15nm pattern will be required half a decade later. But today’s development of EB writer is aiming to increase photomask’s productivity, so we will face a difficulty to fabricate finer pattern in near future. In this paper, we examined a potential of mask production process with EB writer from the view of finer pattern fabrication performances. We succeeded to fabricate hp (half-pitch) 17nm pattern on mask plate by using VSB (Variable Shaped Beam) type EB mask writer with CAR (Chemically Amplified Resist). This result suggests that the photomask fabrication process has the potential for sub-20nm generation mask production.


Photomask and Next-Generation Lithography Mask Technology XIX | 2012

Defect management of EUV mask

Takashi Kamo; Koji Murano; Kosuke Takai; Kazuki Hagihara; Shinji Yamaguchi; Masato Naka; Keiko Morishita; Ryoji Yoshikawa; Masamitsu Itoh; Suigen Kyoh; Naoya Hayashi

Extreme Ultraviolet Lithography (EUVL) is a promising technology for the fabrication of ULSI devices with 20nm half-pitch node. One of the key challenges before EUVL is to achieve defect-free masks. There are three main categories of mask defects: multilayer defects which cause phase defects, absorber pattern defects, and particles during blank/mask fabrication or mask handling after mask fabrication. It is important to manage multilayer defect because small multilayer defects are difficult to be identified by SEM/AFM after mask patterning and can impact wafer printing. In this paper, we assess blank defect position error detected by 3rd generation blank inspection tool, using blank defect information from blank supplier and 199nm wavelength patterned mask inspection tool NPI-7000. And we rank blank defect in the order of projection defect size to multilayer in order to estimate blank defect printability. This method avoids overestimating the number of potential killer defects that hardly be identified by SEM/AFM under the condition that EUV-AIMS is not available.


Photomask Technology | 2017

The capability of measuring cross-sectional profile for hole patterns in nanoimprint templates using small-angle x-ray scattering

Kazuki Hagihara; Rikiya Taniguchi; Eiji Yamanaka; Kazuhiko Omote; Yoshiyasu Ito; Kiyoshi Ogata; Naoya Hayashi

Nanoimprint lithography (NIL) is one of the highest potential candidates for next generation lithography in semiconductors. NIL is very useful technology for pattern fabrication in high resolution compared to conventional optical lithography. NIL technology makes use of replication from quartz templates. The cross-sectional profile of the template is directly transferred to the resist profile on a wafer. Accordingly, the management of the cross-sectional profile on the template pattern is much more important than on each photomask. In our previous report, we had studied the performance of measuring cross-sectional profiles using grazing-incidence small-angle X-ray scattering (GISAXS). GISAXS has made it possible to analyze the repeated nanostructure patterns with a 2D X-ray scattering pattern. After various researches, we found the application is very effective in the method of cross-sectional profiling of sub-20 nm half-pitch lines-and-spaces (LS) patterns. In this report, we investigated the capabilities of measuring cross-sectional profiles for hole patterns using GISAXS. Since the pattern density of hole patterns is much lower than that of LS patterns, the intensity of X-ray scattering in hole measurements is much lower. We optimized some measurement conditions to build the hole measurement system. Finally, the results suggested that 3D profile measurement of hole pattern using GISAXS has sufficient performance to manage the cross-sectional profile of template. The measurement system using GISAXS for measuring 3D profiles establishes the cross-sectional profile management essential for the production of high quality quartz hole templates.


Proceedings of SPIE | 2016

Improvement of sub-20nm pattern quality with dose modulation technique for NIL template production

Keisuke Yagawa; Kunihiro Ugajin; Machiko Suenaga; Shingo Kanamitsu; Takeharu Motokawa; Kazuki Hagihara; Yukiyasu Arisawa; Sachiko Kobayashi; Masato Saito; Masamitsu Ito

Nanoimprint lithography (NIL) technology is in the spotlight as a next-generation semiconductor manufacturing technique for integrated circuits at 22 nm and beyond. NIL is the unmagnified lithography technique using template which is replicated from master templates. On the other hand, master templates are currently fabricated by electron-beam (EB) lithography[1]. In near future, finer patterns less than 15nm will be required on master template and EB data volume increases exponentially. So, we confront with a difficult challenge. A higher resolution EB mask writer and a high performance fabrication process will be required. In our previous study, we investigated a potential of photomask fabrication process for finer patterning and achieved 15.5nm line and space (L/S) pattern on template by using VSB (Variable Shaped Beam) type EB mask writer and chemically amplified resist. In contrast, we found that a contrast loss by backscattering decreases the performance of finer patterning. For semiconductor devices manufacturing, we must fabricate complicated patterns which includes high and low density simultaneously except for consecutive L/S pattern. Then it’s quite important to develop a technique to make various size or coverage patterns all at once. In this study, a small feature pattern was experimentally formed on master template with dose modulation technique. This technique makes it possible to apply the appropriate exposure dose for each pattern size. As a result, we succeed to improve the performance of finer patterning in bright field area. These results show that the performance of current EB lithography process have a potential to fabricate NIL template.


Photomask Japan 2016: XXIII Symposium on Photomask and Next-Generation Lithography Mask Technology | 2016

High resolution hole patterning with EB lithography for NIL template production

Mana Tanabe; Keisuke Yagawa; Takeharu Motokawa; Kazuki Hagihara; Machiko Suenaga; Masato Saito; Shingo Kanamitsu; Masamitsu Itoh

Nano imprint lithography (NIL) is one to one lithography and contact transfer technique using template. Therefore, the lithography performance depends greatly on the quality of the template pattern. In this study, we investigated the resolution and the defect level for hole patterning using chemical amplified resists (CAR) and VSB type EB writer, EBM9000. To form smaller pattern with high quality, high resolution resist process and high sensitivity etching process are needed. After these elements were optimized, we succeeded to form 24 nm dense hole pattern on template. In general, it is difficult to suppress the defect density in a large area because of fogging effect and process loading and so forth. However, from the view point of defect quality, 26 nm hole pattern is achieved to form with practical level in a large area. Therefore, we indicate the capability of forming 26 nm hole master template which will be required in 2019 from ITRS2013. These results show that this process is possible to obtain less than 30 nm hole pattern without enormous writing time. As future work, we will imprint master to replica template and check the printability.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Study of CD variation at EUV mask fabrication occurred by electric conduction from top to back side

Kosuke Takai; Koji Murano; Kazuki Hagihara; Masamitsu Itoh; Tsukasa Abe; Takashi Adachi; Hideo Akizuki; Tadahiko Takikawa; Hiroshi Mohri; Naoya Hayashi

Extreme Ultra Violet Lithography (EUVL) is the most leading next generation lithographic technology post ArF immersion lithography. The Structure of EUV mask differ from traditional photomask., especially backside coating. E-chuck is employed to fix the EUV mask on the scanner. Therefore a conductive film on backside of the EUV mask blank is needed. We investigated what have an influence on mask manufacturing process caused by the backside coating differed from a traditional photomask. From our experiment, at the mask fabrication process, especially RIE process to etch Ta absorber, the CD variation is occurred by electric conduction between the backside conductive coating and the absorber on the Mo/Si multi-layer. As a result, the EUV mask blank without electric conduction between the backside conductive coating and the absorber on the Mo/Si multilayer is necessary.


Archive | 2011

METHOD OF FORMING AND MANAGING OF TEMPLATE, TEMPLATE, AND TEMPLATE FORMING AND MANAGING DEVICE

Kazuki Hagihara


Archive | 2014

Substrate storing case, substrate cleaning apparatus and substrate storing case cleaning apparatus

Yukio Oppata; Hideaki Sakurai; Shingo Kanamitsu; Tomohiro Tsutsui; Kazuki Hagihara


Archive | 2016

MULTI-PROCESSING APPARATUS AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE

Takeharu Motokawa; Kazuki Hagihara; Shuichi Taniguchi

Collaboration


Dive into the Kazuki Hagihara's collaboration.

Researchain Logo
Decentralizing Knowledge