Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Tatsuya Ariga is active.

Publication


Featured researches published by Tatsuya Ariga.


Proceedings of SPIE | 2007

Laser produced EUV light source development for HVM

Akira Endo; Hideo Hoshino; Takashi Suganuma; Masato Moriya; Tatsuya Ariga; Yoshifumi Ueno; Masaki Nakano; Takeshi Asayama; Tamotsu Abe; Hiroshi Komori; Georg Soumagne; Hakaru Mizoguchi; Akira Sumitani; Koichi Toyoda

We develop a laser produced plasma light source for high volume manufacturing (HVM) EUV lithography. The light source is based on a short pulse, high power, high repetition rate CO2 master oscillator power amplifier (MOPA) laser system and a Tin droplet target. A maximum conversion efficiency of 4.5% was measured for a CO2 laser driven Sn plasma having a narrow spectrum at 13.5 nm. In addition, low debris generation was observed. The CO2 MOPA laser system is based on commercial high power cw CO2 lasers. We achieve an average laser power of 3 kW at 100 kHz with a single laser beam that has very good beam quality. In a first step, a 50-W light source is developing. Based on a 10-kW CO2 laser this light source is scalable to more than 100 W EUV in-band power.


Applied Physics Letters | 2007

Efficient extreme ultraviolet plasma source generated by a CO2 laser and a liquid xenon microjet target

Yoshifumi Ueno; Tatsuya Ariga; George Soumagne; Takeshi Higashiguchi; Shoichi Kubodera; Igor Pogorelsky; Igor Pavlishin; Daniil Stolyarov; Marcus Babzien; Karl Kusche; V. Yakimenko

We demonstrated efficacy of a CO2-laser-produced xenon plasma in the extreme ultraviolet (EUV) spectral region at 13.5nm at variable laser pulse widths between 200ps and 25ns. The plasma target was a 30μm liquid xenon microjet. To ensure the optimum coupling of CO2 laser energy with the plasma, they applied a prepulse yttrium aluminum garnet laser. The authors measured the conversion efficiency (CE) of the 13.5nm EUV emission for different pulse widths of the CO2 laser. A maximum CE of 0.6% was obtained for a CO2 laser pulse width of 25ns at an intensity of 5×1010W∕cm2.


23rd Annual International Symposium on Microlithography | 1998

High-spectral-purity and high-durability kHz KrF excimer laser with advanced rf preionization discharge

Tatsuo Enami; Osamu Wakabayashi; Toshihiro Nishisaka; Natsushi Suzuki; Takashi Nire; Hakaru Mizoguchi; Hiroaki Nakarai; Hirokazu Tanaka; Tatsuya Ariga; Kouji Shio; Takeshi Okamoto; Ryoichi Nodomi; Hitoshi Tomaru; Kiyoharu Nakao

We present the performance and durability of the newest model of the KrF excimer laser for microlithography KLES-G10K. The laser achieves 10 W of output power with 0.7 pm bandwidth at 1000 Hz with newly developed solid state pulsed power module and the high precise narrowing module. The durability of laser tube achieves 5 billion pulses with the new radio frequency preionization scheme, which reduces consumption of fluorine gas and maintenance of laser tube drastically.


Emerging Lithographic Technologies IX | 2005

Laser-produced-plasma light source for EUV lithography

Georg Soumagne; Tamotsu Abe; Takashi Suganuma; Yousuke Imai; Hiroshi Someya; Hideo Hoshino; Masaki Nakano; Hiroshi Komori; Yuichi Takabayashi; Tatsuya Ariga; Yoshifumi Ueno; Yasunori Wada; Akira Endo; Koichi Toyoda

The status of the next generation lithography laser produced plasma light source development at EUVA is presented. The light source is based on a Xenon jet target and a Nd:YAG driver laser. The laser, having a master oscillator power amplifier (MOPA) configuration, operates at 10 kHz repetition rate and generates an average output power of 1.5 kW. The fwhm pulsewidth is 6 ns. The EUV system currently delivers an average EUV source power of 9.1 W (2% bandwidth, 2π sr) with a conversion efficiency of 0.6 %. Based on the development it is concluded that solid-state Nd:YAG laser technology can be cost efficiently used to produce 10 W level EUV light sources. In order to generate an average power of 115 W for a future extreme ultraviolet (EUV) light source, however, the cost of a Nd:YAG based LPP source will be too high. Therefore RF-CO2 laser technology will be used. The designed CO2 driver laser system has a MOPA configuration. The oscillator has ns-order pulsewidth and the laser system operates at a repetition rate of 100 kHz. Due to its inert cleanliness Xenon droplets will be the target material.


Proceedings of SPIE | 2006

High power and short pulse RF-excited CO 2 laser MOPA system for LLP EUV light source

Tatsuya Ariga; Hideo Hoshino; Taisuke Miura; Akira Endo

Laser produced plasma EUV source is the candidate for high quality, 115 W EUV light source for the next generation lithography. Cost effective laser driver is the key requirement for the realization of the concept as a viable scheme. A CO2 laser driven LPP system with a Xenon droplet target is therefore a promising light source alternative for EUV. We are developing a high power and high repetition rate CO2 laser system to achieve 10 W intermediate focus EUV power. High conversion efficiency (CE) from the laser energy to EUV in-band energy is the primarily important issue for the concept to be realized. Numerical simulation analysis of a Xenon plasma target shows that a short laser pulse less than 15 ns is necessary to obtain a high CE by a CO2 laser. This paper describes on the development of a CO2 laser system with a short pulse length less than 15 ns, a nominal average power of a few kW, and a repetition rate of 100 kHz, based on RF-excited, axial flow CO2 laser amplifiers. Output power of 1 kW has been achieved with a pulse length 15 ns at 100 kHz repletion rate in a small signal amplification condition with P(20) single line. The CO2 laser system is reported on the conceptual design for a LPP EUV light source, and amplification performance in CW and short pulse using RF-excited axial flow lasers as amplifiers. Additional approach to increase the amplification efficiency is discussed.


Proceedings of SPIE | 2005

Development of short pulse and high power CO2 laser for EUV lithography

Akira Endo; Hideo Hoshino; Tatsuya Ariga; Taisuke Miura

Laser produced plasma EUV source is the candidate for high quality, 115 W EUV light source for the next generation lithography. Cost effective laser driver is the key requirement for the realization of the concept as a viable scheme. A CO2 laser driven LPP system with a Xenon droplet target is therefore a promising light source alternative for EUV. We are developing a high power and high repetition rate CO2 laser system to achieve 10 W intermediate focus EUV power. High conversion efficiency (CE) from the laser energy to EUV in-band energy is the primarily important issue for the concept to be realized. Numerical simulation analysis of a Xenon plasma target shows that a short laser pulse less than 15 ns is necessary to obtain a high CE by a CO2 laser. This paper describes on the development of a CO2 laser system with a short pulse length less than 15 ns, an average power of a few kW, and a repetition rate of 100 kHz based on RF-excited, axial flow CO2 laser modules. Various issues are reported on the laser system design, namely l00W seeder, parasitic oscillation suppression, small signal gain and saturation fluence, and beam quality. Additional approach to increase the amplification efficiency is discussed. Acknowledgement: This work was supported by NEDO.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

High power pulsed CO2 laser for EUV lithography

Tatsuya Ariga; Hideo Hoshino; Taisuke Miura; Akira Endo

Laser produced plasma is the candidate for high quality, 115 W EUV light source for the next generation lithography. Cost effective laser driver is the key requirement for the realization of the concept as a viable scheme. A CO2 laser driven LPP system with a Xenon or Tin droplet target, is therefore a promising light source alternative. We are developing a high power and high repetition rate CO2 laser system to achieve 10 W intermediate focus EUV power. High conversion efficiency (CE) from the laser energy to EUV in-band energy, is the primarily important issue for the concept to be realized. Experimental and numerical simulation analysis of a Xenon plasma target shows that a short laser pulse less than 15 ns is necessary to obtain high CE by a CO2 laser. This paper describes on the development of a CO2 laser system with a short pulse length less than 15 ns, a nominal average power of a few kW, and a repetition rate of 100 kHz based on RF-excited, axial flow CO2 laser amplifiers. Output power of 1 kW has been achieved with a pulse length 15 ns at 100 kHz repletion rate in a small signal amplification condition. The phase distortion during the amplification is negligible and the beam is focused down to 100μm diameter onto a fast Xenon jet. The conceptual design of the CO2 laser system for LPP EUV light source, and amplification performance in short pulse using RF-excited axial flow laser as amplifiers, are reported. Additional approach to increase the amplification efficiency is discussed.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Development of CO 2 laser produced Xe plasma EUV light source for microlithography

Hakaru Mizoguchi; Akira Endo; Tatsuya Ariga; Taisuke Miura; Hideo Hoshino; Yoshifumi Ueno; Masaki Nakano; Hiroshi Komori; Akira Sumitani; Tamotsu Abe; Takashi Suganuma; Georg Soumagne; Hiroshi Someya; Yuichi Takabayashi; Koichi Toyoda

A CO2 laser driven Xe droplet plasma is presented as a light source for EUV lithography. A short-pulse TEA CO2 master oscillator power amplifier system and a pre-pulse Nd:YAG laser were used for initial experiment with 0.6% of CE from a Xe jet. A target technology is developed for high average power experiments based on a Xe droplet at 100kHz. Magnetic field ion mitigation is shown to work well in the pre-pulsed plasma combined with a CO2 laser main pulse. This result is very promising with respect to collector mirror lifetime extension by magnetic field mitigation. A master oscillator power amplifier (MOPA) CO2 laser system is under development with a few kW and 100 kHz repetition rate with less than 15ns laser pulse width using a waveguide Q-switched CO2 laser oscillator and RF-excited fast axial flow CO2 laser amplifiers.


Proceedings of SPIE | 2005

EUV characteristics of a high power and high repetition rate CO2 laser driven Xe plasma

Hiroshi Komori; Yoshifumi Ueno; Hideo Hoshino; Tatsuya Ariga; Akira Endo

A CO2 laser driven Xe jet plasma is presented as light source system for EUV lithography. A short-pulse TEA C02 master oscillator power amplifier system and a pre-pulse Nd:YAG laser were used for plasma generation. The dependence of EUV plasma parameters, e.g. conversion efficiency, plasma image and in-band and out-of-band spectra, on the delay time between the pre-pulse and the main pulse laser was investigated. A maximum conversion efficiency of 0.6 % was obtained at a delay time of about 200 ns. In addition, characteristics of fast ions were measured by the time-of-flight method. The peak energy of the fast ion energy distribution decreased significantly at delay times larger than 200 ns. This result is very promising with respect to collector mirror lifetime extension by magnetic field mitigation.


Optical Microlithography XVII | 2004

Beam quality of a new-type MOPO laser system for VUV laser lithography

Osamu Wakabayashi; Tatsuya Ariga; Takahito Kumazaki; Koutarou Sasano; Takayuki Watanabe; Takayuki Yabu; Tsukasa Hori; Kouji Kakizaki; Akira Sumitani; Hakaru Mizoguchi

ArF-dry microlithography is currently switching from pre-production to mass-production and the target node is shifted from 90 nm to 65 nm. ArF-wet or F2 laser lithography will then be an important player for the next generation node below 45 nm. Therefore, high throughput and high-resolution exposure tools for VUV lithography require VUV light sources (ArF and F2 lasers) with high power and narrow bandwidth. In this paper, we describe the beam quality of the new- type injection lock (MOPO, master oscillator power oscillator) ArF laser system we developed and compare it with the beam quality of a master oscillator power amplifier (MOPA) ArF laser system. A high power and narrow bandwidth ArF laser can be achieved with twin laser chambers in a MOPA or an injection lock laser configuration. Compared to the MOPA system, the injection lock laser system has an excellent performance (e.g. high efficiency, long pulse duration and narrow spectrum). On the other hand, the injection lock system has some disadvantages in beam quality showing high spatial coherence, broadband emission and having a beam profile with a hole. These technical issues have been solved, however, with the following two new breakthrough-technologies: (1) a new-type injection lock system having low spatial coherence and a beam profile with no hole and (2) the optimization of the injection seed energy and discharge timing between the twin chambers for low broadband emission. The spatial coherence, the broadband spectrum and the beam profile of the new-type injection lock system were measured with a Young’s interferometer, a wide range spectrometer with etalons and a 2-dimensional beam profiler, respectively. The new-type injection lock ArF laser system had a lower spatial coherence than a conventional injection lock system, a very low broadband emission level thus preventing deterioration of exposure tools resolution, and a beam profile with no hole. Moreover, we reconfirmed that the new-type injection lock system has the same excellent performance as the conventional injection lock system.

Collaboration


Dive into the Tatsuya Ariga's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge