Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Thomas B. Faure is active.

Publication


Featured researches published by Thomas B. Faure.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Characterization of binary and attenuated phase shift mask blanks for 32nm mask fabrication

Thomas B. Faure; Emily Gallagher; Michael S. Hibbs; Louis Kindt; Ken Racette; Richard Wistrom; Amy E. Zweber; Alfred Wagner; Yasutaka Kikuchi; Toru Komizo; Satoru Nemoto

During the development of optical lithography extensions for 32nm, both binary and attenuated phase shift Reticle Enhancement Technologies (RETs) were evaluated. The mask blank has a very strong influence on the minimum feature size and critical dimension (CD) performance that can be achieved on the finished reticle and can have a significant impact on the ultimate wafer lithographic performance. Development of a suitable high resolution binary mask making process was particularly challenging. Standard chrome on glass (COG) binary blanks with 70 nm thick chrome films were unable to support the required minimum feature size, linearity, and through pitch requirements. Two alternative mask blank configurations were evaluated for use in building high resolution binary masks: a binary (BIN) mask blank based on the standard attenuated PSM blank and an Opaque MoSi on Glass (OMOG) mask blank consisting of a newly- developed opaque MoSi [1]. Data comparing the total process bias, minimum feature size, CD uniformity, linearity, through pitch, etch loading effects, flatness, film stress, cleaning durability and radiation durability performance of the different binary and attenuated PSM mask blanks are reported. The results show that the new OMOG binary blank offers significant mask performance benefits relative to the other binary and attenuated PSM mask blanks. The new OMOG blank was the opaque mask blank candidate most capable of meeting 32nm binary mask fabrication requirements..


Japanese Journal of Applied Physics | 1994

High-Accuracy Defect-Free X-Ray Mask Technology

Steven C. Nash; Thomas B. Faure; James P. Levin; Denise M. Puisto; Janet M. Rocque; Kurt R. Kimmel; Mark A. McCord; R. Viswanathan

There are many material and processing options for building highly accurate defect-free X-ray masks that meet the 0.25-µ m and smaller lithography groundrules. IBMs path and rationale for reducing the key mask parameters of image size, image placement and defects is covered. For image size resolution and control, high voltage e-beam lithography (greater then 50 kV) is the preferred technique for X-ray masks. For tighter image placement control, special writing schemes that reduce the e-beam lithography systematic and random placement errors must be used. Special absorber electroplating conditions and thermal controls were implemented to control process-induced distortion. For tight defect control, identifying and eliminating sources of defect is key. Clearly, for IBM, most of the defect sources were process rather than foreign material related. Our defect reduction work has resulted in the fabrication of a fully functional 64-Mb DRAM (single chip) mask.


24th Annual BACUS Symposium on Photomask Technology | 2004

Chrome dry etching for 65-nm node mask manufacturing

Thomas B. Faure; Emily Fisch; Cuc K. Huynh; Shaun Crawford

A new chrome etch system was acquired and implemented to manufacture 65 nm node critical level masks. The etch performance of FEP 171, ZEP 7000, NEB 22, and REAP 200 resist systems in this new chrome etch system was evaluated. The critical dimension (CD) uniformity, etch bias, and etch linearity of this new etch system relative to the older generation etch system is presented. Implementation of the new etch system resulted in a 40-60 nm reduction in etch bias with no degrade in CD uniformity performance. In addition, it was found that the etch contribution to CD linearity was reduced by 50%. Detailed characterization of both macroloading and microloading etch effects was performed and showed substantial improvement relative to the previous generation etch system. The change in chrome etch rate as a function of etch area was reduced by 50%, improving mean to target CD performance on new designs. Implementation of the new etch system has enabled achievement of CD and defect density performance requirements for 65 nm node mask manufacturing. The results presented in this paper were collected during the process development phase and are not necessarily representative of the final optimized process.


Photomask and x-ray mask technology. Conference | 1997

Plasma etching of Cr photomasks: parametric comparisons of plasma sources and process conditions

Chris Constantine; David Johnson; Russell Westerman; Thomas P. Coleman; Thomas B. Faure

As device design rules continue to shrink, on-mask Cr structures must experience a corresponding reduction in size. Although 0.25 micrometer design rules require only 1 micrometer Cr features, the use of OPC structures, which may be needed to minimize line foreshortening and corner rounding, necessitate features to be etched into the Cr which are significantly smaller than this. This need, coupled with the demand for reduced CD bias and improved CD uniformities, requires the use of an alternate chrome etch technology. Plasma etching of Cr can be highly anisotropic, greatly reducing the etch under cut which is responsible for the CD bias typically associated with wet etching. Reactive ion etching (RIE) can provide significant enhancements in the capability of replicating micron and sub-micron features, but the Cr etch rate non-uniformity which is typical of this technique can translate into a CD nonuniformity. This is due in part to the relatively high pressure of operation (50 - 100 mTorr which is necessary to reduce the self generated dc voltage And which minimizes the photo resist etch rate. Recently, high density plasma sources, such as inductively coupled plasma (ICP), have become available which have the ability to operate both at low pressures and high plasma density while maintaining a low and controllable dc voltage. The low pressure operation significantly improves the etch rate uniformity and consequently the CD uniformity. In this study a design of experiment (DOE) is used to investigate the parameter space associated with the dry etching of Cr using an ICP source. The responses of Cr etch rate, selectivity to photo resist, CD uniformity and mean CD to target are studied, and from this an optimized parameter space is defined. Within this space the effect of overetch, dc voltage and pattern loading on the CD uniformity are also investigated. The role played by the photo resist profile in determining the Cr etch profile is also studied and preliminary measurements are made to understand the effect of the above parameters on the mask CD bias.


SPIE Photomask Technology | 2013

Controlling the sidewall angle of advanced attenuated phase-shift photomasks for 14nm and 10nm lithography

Richard Wistrom; Yoshifumi Sakamoto; Jeffery Panton; Thomas B. Faure; Takeshi Isogawa; Anne McGuire

As optical lithography is extended to the 14nm and 10nm technology nodes, sidewall angle (SWA) control of photomask features becomes increasingly important. The experiments to be reported here study SWA for advanced attenuated phase-shift photomasks. SWA is evaluated from three perspectives. First, the effects of mask etch process parameters will be studied. Second, the effects of local mask environment, such as etch loading and line width, will be tested. Finally, a variety of SWA measurement methods will be compared.


Proceedings of SPIE | 2012

Conductive layer for charge dissipation during electron-beam exposures

Luisa D. Bozano; Ratnam Sooriyakumaran; Takayuki Nagasawa; Satoshi Watanabe; Yoshio Kawai; Shinpei Kondo; Jun Kotani; Masayuki Kagawa; Linda K. Sundberg; Martha I. Sanchez; Elizabeth Lofano; C. T. Rettner; Tasuku Senna; Thomas B. Faure

Electron beam resists develop a surface potential during exposure, which can lead to image placement errors of up to several nanometers [1] and result in poor CD uniformity and image quality. To address this problem, we have synthesized a conductive polymer that can be coated onto a resist. Our conductive discharge layer (CDL) is water-soluble and is easily removed during subsequent processing steps. Having established that our material has a low enough resistance for full charge dissipation, we have carried out extensive tests to evaluate the impact of the layer on lithographic performance. We will report these findings, which include measurements of the effect of the CDL on the resolution, roughness, and speed of the resist.


Ibm Journal of Research and Development | 2007

Optimization of silicon technology for the IBM system z9

Daniel J. Poindexter; Scott Richard Stiffler; Philip T. Wu; Paul D. Agnello; Thomas H. Ivers; Shreesh Narasimha; Thomas B. Faure; Jed H. Rankin; David A. Grosch; Marc D. Knox; Daniel C. Edelstein; M. Khare; Gary B. Bronner; Hyunjang Nam; Shahid Butt

IBM 90-nm silicon-on-insulator (SOI) technology was used for the key chips in the System z9TM processor chipset. Along with system design, optimization of some critical features of this technology enabled the z9TM to achieve double the system performance of the previous generation. These technology improvements included logic and SRAM FET optimization, mask fabrication, lithography and wafer processing, and interconnect technology. Reliability improvements such as SRAM optimization and burn-in reliability screen are also described.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Etch characterization of binary mask dependence on mask material and resist thickness for 22nm mask fabrication

Satoru Nemoto; Thomas B. Faure; Richard Wistrom; Shaun Crawford; Gary Reid; Peter H. Bartlau; Toru Komizo; Amy E. Zweber

Use of optical photomasks will extend to the 22-nm node and beyond. Mask minimum resolution and critical dimension (CD) requirements for this node are very challenging to the mask industry. Optimization of resist materials and resist thickness are key factors for improving CD performance. In general, thinner resists result in better minimum resolution performance. The minimum useable resist thickness is often linked to the chrome hard mask dry etching performance. More specifically, improvement of chrome etch rate selectivity to resist while simultaneously maintaining good CD performance is difficult. In order to use a very thin e-beam resist, the underlying chrome hard mask material thickness needs to be thin or it needs to be comprised of a material that has a fast etch rate and good dry etch selectivity to resist. Use of thin and/or fast etch rate hard mask materials that are capable of reducing dry etch induced CD error such as etch bias, etch bias uniformity, etch bias linearity, and etch global loading effect is required for meeting 22-nm mask requirements. In this paper, the dry etching effect dependence on hard mask thickness, hard mask material composition and resist thickness for building advanced binary masks for 22-nm node is studied. The results from this work will show that dry etch induced CD error such as etch bias, etch bias uniformity, etch bias linearity, and etch global loading effect are significantly improved by use of an ultra thin or high etch rate hard mask material.


21st Annual BACUS Symposium on Photomask Technology | 2002

Utilization of optical emission endpoint in photomask dry etch processing

Thomas B. Faure; Cuc K. Huynh; Michael J. Lercel; Adam C. Smith; Thomas M. Wagner

Use of accurate and repeatable endpoint detection during dry etch processing of photomask is very important for obtaining good mask mean-to-target and CD uniformity performance. It was found that the typical laser reflectivity endpoint detecting system used on photomask dry etch systems had several key limitations that caused unnecessary scrap and non-optimum image size performance. Consequently, work to develop and implement use of a more robust optical emission endpoint detection system for chrome dry etch processing of photomask was performed. Initial feasibility studies showed that the emission technique was sensitive enough to monitor pattern loadings on contact and via level masks down to 3 percent pattern coverage. Additional work was performed to further improve this to 1 percent pattern coverage by optimizing the endpoint detection parameters. Comparison studies of mask mean-to-target performance and CD uniformity were performed with the use of optical emission endpoint versus laser endpoint for masks built using TOK IP3600 and ZEP 7000 resist systems. It was found that an improvement in mean-to-target performance and CD uniformity was realized on several types of production masks. In addition, part-to-part endpoint time repeatability was found to be significantly improved with the use of optical emission endpoint.


Photomask and next-generation lithography mask technology. Conference | 2001

High-performance e-beam resist coupling excellent dry etch resistance and sub-100-nm resolution for advanced mask making

Wu-Song Huang; Ranee W. Kwong; Wayne M. Moreau; Robert Lang; Christopher F. Robinson; David R. Medeiros; Karen Petrillo; Ari Aviram; Arpan P. Mahorowala; Marie Angelopoulos; Christopher Magg; Mark Lawliss; Thomas B. Faure

Recently, there is a significant interest in using CA resists for electron beam (E-beam) mask making application. CA resists provide superior lithographic performance in comparison to traditional non CA E-beam resists in particular high contrast, resolution, and sensitivity. However, most current CA resists exhibit very large sensitivity to PAB and/or PEB temperatures resulting in significant impact on CD. In addition, image collapse issues associated with high aspect ratio patterning as well as electron scattering effects in low KeV tools necessitate thinner resists. Therefore, there is a need to have a high etch resistant resist system which can withstand the demanding chrome etch process. Previously, we reported on the KRS-XE resist which exhibits dry etch resistance comparable to the best deep UV resist and excellent lithographic performance and bake latitudes. No PEB is needed for this resist. In this paper, we report on an advanced KRS-XE resist formulation which exhibits dry etch resistance surpassing the industry standard, novolak, in the chrome etch process. This new resist also exhibits excellent lithographic performance - 50nm lines/space delineated and requires no PEB. This paper will highlight the lithographic and etch performance of this new resist.

Researchain Logo
Decentralizing Knowledge