Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Richard Wistrom is active.

Publication


Featured researches published by Richard Wistrom.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Characterization of binary and attenuated phase shift mask blanks for 32nm mask fabrication

Thomas B. Faure; Emily Gallagher; Michael S. Hibbs; Louis Kindt; Ken Racette; Richard Wistrom; Amy E. Zweber; Alfred Wagner; Yasutaka Kikuchi; Toru Komizo; Satoru Nemoto

During the development of optical lithography extensions for 32nm, both binary and attenuated phase shift Reticle Enhancement Technologies (RETs) were evaluated. The mask blank has a very strong influence on the minimum feature size and critical dimension (CD) performance that can be achieved on the finished reticle and can have a significant impact on the ultimate wafer lithographic performance. Development of a suitable high resolution binary mask making process was particularly challenging. Standard chrome on glass (COG) binary blanks with 70 nm thick chrome films were unable to support the required minimum feature size, linearity, and through pitch requirements. Two alternative mask blank configurations were evaluated for use in building high resolution binary masks: a binary (BIN) mask blank based on the standard attenuated PSM blank and an Opaque MoSi on Glass (OMOG) mask blank consisting of a newly- developed opaque MoSi [1]. Data comparing the total process bias, minimum feature size, CD uniformity, linearity, through pitch, etch loading effects, flatness, film stress, cleaning durability and radiation durability performance of the different binary and attenuated PSM mask blanks are reported. The results show that the new OMOG binary blank offers significant mask performance benefits relative to the other binary and attenuated PSM mask blanks. The new OMOG blank was the opaque mask blank candidate most capable of meeting 32nm binary mask fabrication requirements..


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Influence of the pellicle on final photomask flatness

Richard Wistrom; Dennis M. Hayden; Kenneth C. Racette; Monica Barrett; Andrew J. Watts

Photomask pellicles play an important role in determining final photomask flatness, which is important to photomask optical performance. This study explores the impact of the pellicle frame flatness and pellicle-to-mask adhesive on photomask flatness. In addition, the change in mask flatness as a function of time after pellicle mounting is studied. Implications of these results on photomask manufacture and photolithography are discussed.


SPIE Photomask Technology | 2013

Controlling the sidewall angle of advanced attenuated phase-shift photomasks for 14nm and 10nm lithography

Richard Wistrom; Yoshifumi Sakamoto; Jeffery Panton; Thomas B. Faure; Takeshi Isogawa; Anne McGuire

As optical lithography is extended to the 14nm and 10nm technology nodes, sidewall angle (SWA) control of photomask features becomes increasingly important. The experiments to be reported here study SWA for advanced attenuated phase-shift photomasks. SWA is evaluated from three perspectives. First, the effects of mask etch process parameters will be studied. Second, the effects of local mask environment, such as etch loading and line width, will be tested. Finally, a variety of SWA measurement methods will be compared.


SPIE Photomask Technology | 2011

High resolution mask process and substrate for 20nm and early 14nm node lithography

Tom Faure; Satoshi Akutagawa; Karen D. Badger; Louis Kindt; Jun Kotani; Takashi Mizoguchi; Satoru Nemoto; Kazunori Seki; Tasuku Senna; Richard Wistrom; Shinich Igarashi; Yukio Inazuki; Kazuhiro Nishikawa; Hiroki Yoshikawa

The lithography challenges posed by the 20 nm and 14 nm nodes continue to place strict minimum feature size requirements on photomasks. The wide spread adoption of very aggressive Optical Proximity Correction (OPC) and computational lithography techniques that are needed to maximize the lithographic process window at 20 nm and 14 nm groundrules has increased the need for sub-resolution assist features (SRAFs) down to 50 nm on the mask. In addition, the recent industry trend of migrating to use of negative tone develop and other tone inversion techniques on wafer in order to use bright field masks with better lithography process window is requiring mask makers to reduce the minimum feature size of opaque features on the reticle such as opaque SRAFs. Due to e-beam write time and pattern fidelity requirements, the increased use of bright field masks means that mask makers must focus on improving the resolution of their negative tone chemically amplified resist (NCAR) process. In this paper we will describe the development and characterization of a high resolution bright field mask process that is suitable for meeting 20 nm and early 14 nm optical lithography requirements. Work to develop and optimize use of an improved chrome hard mask material on the thin OMOG binary mask blank1 in order to resolve smaller feature sizes on the mask will be described. The improved dry etching characteristics of the new chrome hard mask material enabled the use of a very thin (down to 65 nm) NCAR resist. A comparison of the minimum feature size, linearity, and through pitch performance of different NCAR resist thicknesses will also be described. It was found that the combination of the improved mask blank and thinner NCAR could allow achievement of 50 nm opaque SRAFs on the final mask.. In addition, comparisons of the minimum feature size performance of different NCAR resist materials will be shown. A description of the optimized cleaning processes and cleaning durability of the 50 nm opaque SRAFs will be provided. Furthermore, the defect inspection results of the new high resolution mask process and substrate will be shared.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Development and Characterization of a Thinner Binary Mask Absorber for 22 nm node and Beyond

Tom Faure; Karen D. Badger; Louis Kindt; Yutaka Kodera; Toru Komizo; Shinpei Kondo; Takashi Mizoguchi; Satoru Nemoto; Kazunori Seki; Tasuku Senna; Richard Wistrom; Amy E. Zweber; Kazuhiro Nishikawa; Yukio Inazuki; Hiroki Yoshikawa

The lithography challenges posed by the 22 nm node continue to place stringent requirements on photomasks. The dimensions of the mask features continue to shrink more deeply into the sub-wavelength scale. In this regime residual mask electromagnetic field (EMF) effects due to mask topography can degrade the imaging performance of critical mask patterns by degrading the common lithography process window and by magnifying the impact of mask errors or MEEF. Based on this, an effort to reduce the mask topography effect by decreasing the thickness of the mask absorber was conducted. In this paper, we will describe the results of our effort to develop and characterize a binary mask substrate with an absorber that is approximately 20-25% thinner than the absorber on the current Opaque MoSi on Glass (OMOG) binary mask substrate. For expediency, the thin absorber development effort focused on using existing absorber materials and deposition methods. It was found that significant changes in film composition and structure were needed to obtain a substantially thinner blank while maintaining an optical density of 3.0 at 193 nm. Consequently, numerous studies to assess the mask making performance of the thinner absorber material were required and will be described. During these studies several significant mask making advantages of the thin absorber were discovered. The lower film stress and thickness of the new absorber resulted in improved mask flatness and up to a 60% reduction in process-induced mask pattern placement change. Improved cleaning durability was another benefit. Furthermore, the improved EMF performance of the thinner absorber [1] was found to have the potential to relieve mask manufacturing constraints on minimum opaque assist feature size and opaque corner to corner gap. Based on the results of evaluations performed to date, the thinner absorber has been found to be suitable for use for fabricating masks for the 22 nm node and beyond.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Characterizing photomask etch processes by phase component analysis (PCA)

Richard Wistrom; Toru Komizo; Michael S. Hibbs; Gary Reid

One of the most important parameters of Attenuated Phase Shift Masks (APSM) is the uniformity of the phase over the active area of the mask. Phase uniformity is an important component of lithographic process window stability. Typically, an APSM blank consists of a quartz substrate upon which a Molybdenum Silicide (MoSi) attenuating film and a Chromium (Cr) film have been deposited to act as a hard mask for the MoSi etch. There are many factors that contribute to phase non-uniformity of the final mask: thickness non-uniformity of the films, non-uniformity of the Cr etch and MoSi etch, and non-uniformity of the MoSi overetch into the quartz substrate. Phase of a completed mask is routinely measured, but quantifying how these individual components contribute to the overall phase non-uniformity is challenging. This report focuses on understanding how MoSi etch contributes to phase non-uniformity. Phase uniformity is compared for three different MoSi etch processes.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Etch characterization of binary mask dependence on mask material and resist thickness for 22nm mask fabrication

Satoru Nemoto; Thomas B. Faure; Richard Wistrom; Shaun Crawford; Gary Reid; Peter H. Bartlau; Toru Komizo; Amy E. Zweber

Use of optical photomasks will extend to the 22-nm node and beyond. Mask minimum resolution and critical dimension (CD) requirements for this node are very challenging to the mask industry. Optimization of resist materials and resist thickness are key factors for improving CD performance. In general, thinner resists result in better minimum resolution performance. The minimum useable resist thickness is often linked to the chrome hard mask dry etching performance. More specifically, improvement of chrome etch rate selectivity to resist while simultaneously maintaining good CD performance is difficult. In order to use a very thin e-beam resist, the underlying chrome hard mask material thickness needs to be thin or it needs to be comprised of a material that has a fast etch rate and good dry etch selectivity to resist. Use of thin and/or fast etch rate hard mask materials that are capable of reducing dry etch induced CD error such as etch bias, etch bias uniformity, etch bias linearity, and etch global loading effect is required for meeting 22-nm mask requirements. In this paper, the dry etching effect dependence on hard mask thickness, hard mask material composition and resist thickness for building advanced binary masks for 22-nm node is studied. The results from this work will show that dry etch induced CD error such as etch bias, etch bias uniformity, etch bias linearity, and etch global loading effect are significantly improved by use of an ultra thin or high etch rate hard mask material.


Photomask Technology 2011 | 2011

Dry etching performance of advanced EUV mask blanks

John Whang; Madhavi Chandrachood; Emily Gallagher; Tom Faure; Michael N. Grimbergen; Shaun Crawford; Keven Yu; Toi Yue Becky Leung; Richard Wistrom; Amitabh Sabharwal; Jeff Chen; Banqiu Wu

Mask defectivity is often highlighted as one of the barriers to a manufacturable EUV solution. As EUV lithography matures, other components of mask making also emerge as key focus areas in the industry: critical dimension (CD) control, film variability, selectivity, and profile tolerance. Mask materials and specifications continue to evolve to meet the unique challenges of EUV lithography, creating the need for etch capabilities that can keep pace with the latest developments. In this study, the performance of a new EUV mask etch system will be evaluated using a variety of mask blanks to determine the relative performance of each blank type. Etch contributions to mean to target (MTT), CDU, linearity, selectivity, capping layer uniformity, line edge roughness (LER), and profile quality will be characterized to determine tool performance. The new system will also be used to demonstrate multilayer etching capabilities, important for opaque frame and alternating phase shift applications. A comprehensive summary of the etch performance of various EUV films and the readiness for manufacturing applications will be provided.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Integrating Cr and MoSi etch for optimal photomask critical dimension uniformity and phase uniformity

Richard Wistrom; Toru Komizo; Satoru Nemoto; A. Gary Reid

Two key parameters of attenuated phase shift masks are critical dimension uniformity (CDU) and phase uniformity. This study examines the important role that plasma etch plays in determining these parameters. For optimal results, the impact which Cr and MoSi etch have on uniformity must be understood not only individually, but also as a complementary pair. A two-step MoSi etch was developed; the first step was tuned to have a higher etch bias at the edge than at the center, while the second step had a very uniform etch bias. By controlling the fraction of the MoSi consumed by each step, the MoSi etch was adapted to complement the Cr etch and thus optimize overall CDU and phase uniformity.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Development and Characterization of a New Low Stress Molybdenum Silicide Film for 45 nm Attenuated Phase Shift Mask Manufacturing

Thomas B. Faure; Emily Gallagher; Louis Kindt; Steven C. Nash; Ken Racette; Richard Wistrom; Toru Komizo; Yasutaka Kikuchi; Satoru Nemoto; Yushin Sasaki; Atsushi Kominato; Toshiyuki Suzuki

As optical lithography is extended for use in manufacturing 45 nm devices, it becomes increasingly important to maximize the lithography process window and enable the largest depth of focus possible at the wafer stepper. Consequently it is very important that the reticles used in the wafer stepper be as flat as possible. The ITRS roadmap requirement for mask flatness for 45 nm node is 250 nm. To achieve this very tight reticle flatness requirement, the stress of each film present on the mask substrate must be minimized. Another key reticle specification influenced by film stress on the mask blank is image placement. In this paper, we will describe the development and detailed characterization of a new low stress Molybdenum Silicide (MoSi) film for use in manufacturing 45 nm node critical level attenuated phase shift masks to be used in 193 nm immersion lithography. Data assessing and comparing the cleaning durability, mask flatness, image placement, Critical Dimension (CD) performance, dry etch properties, phase performance, and defect performance of the new low stress MoSi film versus the previous industry standard A61A higher stress MoSi attenuator film will be described. The results of our studies indicate that the new low stress MoSi film is suitable for 45 nm mask manufacturing and can be introduced with minimal changes to the mask manufacturing process.

Researchain Logo
Decentralizing Knowledge