Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kurt R. Kimmel is active.

Publication


Featured researches published by Kurt R. Kimmel.


Proceedings of SPIE | 2007

Initial experience establishing an EUV baseline lithography process for manufacturability assessment

Obert Wood; D. Back; Robert Brainard; Greg Denbeaux; Dario L. Goldfarb; Frank Goodwin; John G. Hartley; Kurt R. Kimmel; Chiew-seng Koay; B. La Fontaine; Jeff Mackey; Brian Martinick; Warren Montgomery; Patrick Naulleau; Uzodinma Okoroanyanwu; Karen Petrillo; Bill Pierson; Michael Tittnich; Sven Trogisch; Tom Wallow; Yayi Wei

The International Venture for Nanolithography (INVENT) initiative announced in mid 2005, a unique industry-university consortium between the College of Nanoscale Science and Engineering at Albany and a group of leading edge integrated device manufacturers, has launched an extensive R&D program on EUV lithography (EUVL). The overall scope of the INVENT EUVL program, the status of our efforts to establish a baseline lithography process on a full-field EUVL scanner, and our progress in evaluating EUV resist materials, in designing a custom reticle for scanner characterization and in developing an actinic EUV mask imaging microscope, are discussed.


19th Annual Symposium on Photomask Technology | 1999

High-resolution ultraviolet defect inspection of DAP (darkfield alternate phase) reticles

Lars W. Liebmann; Scott M. Mansfield; Alfred K. K. Wong; Jacek G. Smolinski; Song Peng; Kurt R. Kimmel; Maciej W. Rudzinski; James N. Wiley; Larry S. Zurbrick

The manufacturing implementation of alternating aperture PSMs (AltPSM) has been gated by the impacts these techniques have on reticle manufacturing, specifically reticle defect inspection and repair. Die-to-die inspection techniques have been achieved for some clearfield multiphase alternate phase reticles, but the required die-to-database solutions are not currently available with defect inspection systems. In response to these mask manufacturing issues and IC design layout issues, resolution enhancing techniques based on Darkfield Alternate Phase (DAP) reticle designs are now of growing importance. A DAP Programmed Evaluation Reticle, DAPPER, was fabricated and inspected on a new high numerical aperture ultraviolet reticle inspection system. The results show reasonable defect sensitivity performance in the presence of both reticle geometry and quartz etch topography characteristic of 130-nm node advanced logic circuit DAP reticles.


Japanese Journal of Applied Physics | 1994

High-Accuracy Defect-Free X-Ray Mask Technology

Steven C. Nash; Thomas B. Faure; James P. Levin; Denise M. Puisto; Janet M. Rocque; Kurt R. Kimmel; Mark A. McCord; R. Viswanathan

There are many material and processing options for building highly accurate defect-free X-ray masks that meet the 0.25-µ m and smaller lithography groundrules. IBMs path and rationale for reducing the key mask parameters of image size, image placement and defects is covered. For image size resolution and control, high voltage e-beam lithography (greater then 50 kV) is the preferred technique for X-ray masks. For tighter image placement control, special writing schemes that reduce the e-beam lithography systematic and random placement errors must be used. Special absorber electroplating conditions and thermal controls were implemented to control process-induced distortion. For tight defect control, identifying and eliminating sources of defect is key. Clearly, for IBM, most of the defect sources were process rather than foreign material related. Our defect reduction work has resulted in the fabrication of a fully functional 64-Mb DRAM (single chip) mask.


Advances in resist technology and processing. Conference | 2005

Progress toward developing high performance immersion compatible materials and processes

Karen Petrillo; Kaushal S. Patel; Rex Chen; Wenjie Li; Ranee Kwong; Peggy Lawson; Rao Varanasi; Christopher F. Robinson; Steven J. Holmes; Dario Gil; Kurt R. Kimmel; Mark Slezak; Gary Dabbagh; Takashi Chiba; Tsutomu Shimokawa

To make immersion lithography a reality in manufacturing, several challenges related to materials and defects must be addressed. Two such challenges include the development of water immersion compatible materials, and the vigorous pursuit of defect reduction with respect to both the films and the processes. Suitable resists and topcoats must be developed to be compatible with the water-soaked environment during exposure. Going beyond the requisite studies of component leaching from films into the water, and absorption of water into the films, application-specific optimization of photoresists and top coats will be required. This would involve an understanding of how a wide array of resist chemistry and formulations behave under immersion conditions. The intent of this paper is to compare lithographic performance under immersion and dry conditions of resists containing different polymer platforms, protecting groups, and formulations. The compatibility of several developer-soluble top-coat materials with a variety of resists is also studied with emphasis on profile control issues. With respect to defects, the sources are numerous. Bubbles and particles created during the imaging process, material remnants from incomplete removal of topcoats, and image collapse as related to resist swelling from water infusion are all sources of yield-limiting defects. Parallel efforts are required in the material development cycle focusing both on meeting the lithographic requirements, and on understanding and eliminating sources of defects. In this paper, efforts in the characterization and reduction of defects as related to materials chemistry and processing effects will be presented.


Journal of Vacuum Science & Technology B | 1996

Multiple‐pass writing optimization for proximity x‐ray mask‐making using electron‐beam lithography

Denise M. Puisto; Mark Lawliss; Janet M. Rocque; Kurt R. Kimmel; John G. Hartley

We have evaluated and implemented a multiple‐pass writing scheme that significantly improves the image‐placement performance of masks for proximity x‐ray lithography. Masks were fabricated using a 75 kV EL‐3+ electron‐beam lithography system that separates the data into fields and subfields, and exposes the images by using a variable‐shaped beam. Multiple‐pass writing allows averaging of system noise between multiple exposure passes written at fractional doses [Jpn. J. Appl. Phys. 32, L1707 (1993)]; stitching errors can also be averaged by offsetting the locations of the tool field and subfield boundaries for each pass [Jpn. J. Appl. Phys. 32, 5933 (1993)]. Multiple‐pass writing was evaluated both with and without boundary offsets. Our experiments indicated that the offset method resulted in better image placement but negatively affected image size and defect performance because of the EL‐3+system limitations. The no‐offset method was optimized and implemented and achieved sub‐50 nm (3σ) image placement. The method was then transferred to the EL‐4 electron‐beam lithography system, resulting in image placement of sub‐30 nm (3σ).


Optical Microlithography XVII | 2004

Full field imaging with a 157-nm scanner

Christopher F. Robinson; Nakgeuon Seong; Kurt R. Kimmel; Timothy A. Brunner; Michael S. Hibbs; Michael J. Lercel; Diane McCafferty; Harry Sewell; Timothy O'Neil; Juan Ivaldi; Keith W. Andresen

157 nm has been explored as a lithographic technology for several years on small field imaging tools with encouraging results. Significant progress has occurred in tool platform design, resist performance, and optical material quality. However, a major test of a new lithography comes when full field, scanned images can be produced as this becomes a crucial test of system performance and uniformity. We report on early results from a 22 mm x 26 mm (slot x scan) field Micrascan VII 157 nm lithography scanner obtained using a binary reticle. In addition, a full field alternating phase shift reticle was fabricated on modified fused silica1 and used to extend the imaging capability. Resolution and uniformity data from both reticles will be presented. The lithographic performance will also be compared to simulations using predicted performance from the scanner.


26th Annual International Symposium on Microlithography | 2001

Novel Si-based composite thin films for 193/157-nm attenuated phase-shift mask (APSM) applications

S. Jay Chey; Charles Richard Guarnieri; Katherina Babich; Keith R. Pope; Dario L. Goldfarb; Marie Angelopoulos; Kenneth C. Racette; Michael S. Hibbs; Margaret L. Gibson; Kurt R. Kimmel

We have developed a novel Si-based composite thin film for attenuated phase shift mask(APSM) applications at 193/157 nm wavelength. The fabrication involved sputtering deposition, either with dual target or a single composite target. At 193 nm, these thin films show tunable optical transmission and good stability against long term radiation, common chemicals used to strip photoresist, and exhibit good dry etch selectivity to quartz. Specifically, a film with initial transmission of 5.72%,the total increase oftransmission was 0.27% for doses up to 5.4 kJ/cm2. Also, the increase of transmission was 0.19% after 60 mm of cleaning treatment in acid based solution (H2S04H20210:1 at 95°C). The dry etch selectivity over fused quartz was greater than 5:1. The transmission of the films at 193 nm can be tuned from 0 % to 20 % by varying the thin film composition, process gas flow and composition, and deposition pressure. This wide transmission window provides the possible extension down to 157 nm wavelength.


Symposium on Photomask and X-Ray Mask Technology | 1996

Current status of x-ray mask manufacturing at the Microlithographic Mask Development Center

Kurt R. Kimmel; Patrick J. Hughes

The Microlithographic Mask Development Center (MMD) has been the focal point of X-ray mask development efforts in the United States since its inception in 1993. Funded by the Advanced Research Projects Agency (ARPA), and with technical support from the Proximity X-ray Lithography Association (AT&T, IBM, Loral Federal Systems, and Motorola) the MMD has recently made dramatic advances in mask fabrication. Numerous defect-free 64Mb and 256Mb DRAM masks have been made on both boron-doped silicon and silicon carbide substrates. Image-placement error of less than 35nm 3 sigma is achieved with high yield. Image-size (critical dimension) control of 25nm 3 sigma on 250nm nominal images is representative performance. This progress is being made in a manufacturing environment with significant volumes, multiple customers, multiple substrate configurations, and fast turnaround-time (TAT) requirements. The MMD state-of-the-art equipment infrastructure has made much of this progress possible. This year the MMD qualified the EL-4, an IBM-designed-and-built variable-shaped-spot e-beam system. The fundamental performance parameters of this system will be described. Operational techniques of multiple partial exposure writing and product specific emulation (PSE) have been implemented to improve image-placement accuracy with remarkable success. Image-size control was studied in detail with contributory components separated. Defect density was systematically reduced to yield defect-free masks while simultaneously tightening inspection criteria. Information about these and other recent engineering highlights will be reported. An outline of the primary engineering challenges and goals for 1996 and status of progress toward 100 nm design rule capability will also be given.


Electron-Beam, X-Ray, EUV, and Ion-Beam Submicrometer Lithographies for Manufacturing VI | 1996

X-ray mask image-placement studies at the Microlithography Mask Development Center

Denise M. Puisto; Mark Lawliss; Thomas B. Faure; Janet M. Rocque; Kurt R. Kimmel; Douglas E. Benoit

A continuing trend in X-ray lithography is the requirement for high accuracy masks. Image placement, or the ability to pattern images in the correct locations, is one of the most critical requirements. It is driven by a number of parameters, including the electron-beam lithography system and precision of the metrology system. Also, because the X-ray mask substrate consists of a thin membrane, it is very susceptible to the stresses of the resist film, absorber material, and plating base. An extensive analysis of the contributors to image placement was performed to determine the relative contribution of each. This analysis highlighted those contributors which caused the largest distortions and which, therefore, presented the most opportunities for improvement. Several changes were then implemented which resulted in a 50 percent overall improvement to placement of the X-ray mask images. The experimental design and detailed results are discussed.


Electron-Beam, X-Ray, EUV, and Ion-Beam Submicrometer Lithographies for Manufacturing V | 1995

Assessment of silicon carbide x-ray mask overlay performance in the IBM Advanced Lithography Facility x-ray stepper

Kurt R. Kimmel; Alek C. Chen; Lynn A. Powers; Ben R. Vampatella

This paper presents the results of a study to explicitly assess the performance of silicon carbide masks by directly measuring overlay accuracy and precision of exposures made on a state-of-the-art commercially available x-ray stepper, the Suss XRS200/3. The work was done using a mask fabricated at IBM from silicon carbide coated wafers obtained from HOYA Electronics Corp. with exposures completed at IBMs Advanced Lithography Facility (ALF) using synchrotron-generated radiation. The mask pattern design contains many overlay measurement fiducials, resolution patterns, and alignment verniers, and two sets of three alignment marks: one set inboard (kerf) and one set outboard. The performance of an imaging-based alignment system, such as the ALX system on the Suss XRS200/3 steppers, varies depending upon the optical characteristics of the alignment marks on the mask and wafer.

Researchain Logo
Decentralizing Knowledge