Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Tohru Furuyama is active.

Publication


Featured researches published by Tohru Furuyama.


IEEE Journal of Solid-state Circuits | 1998

Variable supply-voltage scheme for low-power high-speed CMOS digital design

Tadahiro Kuroda; Kojiro Suzuki; Shinji Mita; Tetsuya Fujita; Fumiyuki Yamane; Fumihiko Sano; Akihiko Chiba; Yoshinori Watanabe; Koji Matsuda; Takeo Maeda; Takayasu Sakurai; Tohru Furuyama

This paper describes a variable supply-voltage (VS) scheme. From an external supply, the VS scheme automatically generates minimum internal supply voltages by feedback control of a buck converter, a speed detector, and a timing controller so that they meet the demand on its operation frequency. A 32-b RISC core processor is developed in a 0.4-/spl mu/m CMOS technology which optimally controls the internal supple voltages with the VS scheme and the threshold voltages through substrate bias control. Performance in MIPS/W is improved by a factor of more than two compared with its conventional CMOS design.


international solid-state circuits conference | 1998

A 60 mW MPEG4 video codec using clustered voltage scaling with variable supply-voltage scheme

Masafumi Takahashi; Mototsugu Hamada; Tsuyoshi Nishikawa; Hideho Arakida; Yoshiro Tsuboi; Tetsuya Fujita; Fumitoshi Hatori; Shinji Mita; Kojiro Suzuki; Akihiko Chiba; Toshihiro Terazawa; Fumihiko Sano; Y. Watanabe; Hiroshi Momose; Kimiyoshi Usami; Mutsunori Igarashi; Takashi Ishikawa; Masahiro Kanazawa; Tadahiro Kuroda; Tohru Furuyama

This MPEG4 video codec implements essential functions in the MPEG4 committee draft. It consumes 60 mW at 30 MHz, 30% of the power dissipation of a conventional CMOS design. Measured power dissipation is summarized. 70% power reduction is achieved by low-power techniques at circuit and architectural levels. A 16b RISC processor provides software programmability. Binary shape decoding uses 20% of the computation power of the RISC processor at 30MHz clock, with negligible increase in chip power dissipation. Three-step hierarchical motion estimation reduces power dissipation.


international electron devices meeting | 2008

Autonomous refresh of floating body cell (FBC)

Takashi Ohsawa; Ryo Fukuda; Tomoki Higashi; Katsuyuki Fujita; F. Matsuoka; Tomoaki Shino; Hironobu Furuhashi; Yoshihiro Minami; Hiroomi Nakajima; Takeshi Hamamoto; Yohji Watanabe; Akihiro Nitayama; Tohru Furuyama

Physics of autonomous refresh of FBC is presented. Current input to the floating body by impact ionization and output by charge pumping can balance to make FBC refresh by itself without sense amplifier operation. Thanks to this feature, multiple cells on a BL can be refreshed simultaneously, leading to a drastic reduction of BL charging current compared to the conventional refresh. 600 muA refresh current for 1 G-bit memory is achieved in 32 nm technology node with 4 ms retention time. If gate direct tunneling current is used as output, FBC can realize static RAM without periodical refresh when retaining data.


IEEE Journal of Solid-state Circuits | 1989

An experimental 2-bit/cell storage DRAM for macrocell or memory-on-logic application

Tohru Furuyama; Takashi Ohsawa; Y. Nagahama; H. Tanaka; Y. Watanabe; T. Kimura; Kazuyoshi Muraoka; K. Natori

A multiple-level 2-bit/cell storage technique for DRAMs (dynamic random-access memories) has been developed. The total RAM area is reduced and the cell array is cut in half. Since the memory cell area is especially defect-sensitive, this technique is highly effective for process yield improvement. Reasonable access time has been realized with this technique: 170 ns is still fast enough for many ASIC (application-specific integrated circuit) memory applications. This technique meets the requirement of high density and moderate speed. It was found that the 2-bit/cell storage technique is suitable for macrocell or memory-on-logic type application. >


international solid-state circuits conference | 2000

A 60 MHz 240 mW MPEG-4 video-phone LSI with 16 Mb embedded DRAM

Tsuyoshi Nishikawa; Masafumi Takahashi; Mototsugu Hamada; Toshinari Takayanagi; Hideho Arakida; Noriaki Machida; Hideaki Yamamoto; Toshihide Fujiyoshi; Osamu Yamagishi; T. Samata; Atsushi Asano; Toshihiro Terazawa; Kenji Ohmori; Junya Shirakura; Y. Watanabe; Hiroki Nakamura; Shigenobu Minami; Tadahiro Kuroda; Tohru Furuyama

A 240 mW single-chip MPEG-4 video-phone LSI with a 16 Mb embedded DRAM is fabricated in a 0.25 /spl mu/m CMOS, triple-well, quad-metal technology. The chip integrates a 16 Mb DRAM and three dedicated 16 b RISC processors with dedicated hardware accelerators that serve as an MPEG-4 video codec, a speech codec, and a multiplexer. It also integrates camera, display, and audio interfaces required for a video-phone system. It consumes 240 mW at 60 MHz operation, which is only 22% of the power dissipation of a conventional design. A variable threshold voltage CMOS (VTCMOS) technology is employed to reduce standby leakage current to 26 /spl mu/A, which is only 17% of the conventional CMOS design.


IEEE Journal of Solid-state Circuits | 1993

A 500-megabyte/s data-rate 4.5 M DRAM

Natsuki Kushiyama; Shigeo Ohshima; D. Stark; H. Noji; Kiyofumi Sakurai; Satoru Takase; Tohru Furuyama; R.M. Barth; A. Chan; J. Dillon; James A. Gasbarro; M.M. Griffin; Mark Horowitz; T.H. Lee; Victor E. Lee

A 512-kb*9 DRAM with a 500-Mbyte/s data transfer rate was developed. This high data rate was achieved by designing a DRAM core with a very high internal column bandwidth, and coupling this core with a block-oriented, small-swing, synchronous interface that uses skew-canceling clocks. The DRAM has a 1-kbyte*2-line sense-amp cache and is assembled in a 32-pin vertical surface-mount-type plastic package. The measurement results clearly verified the 500-Mbyte/s data rate. >


international solid-state circuits conference | 2009

A 1.6 GB/s DDR2 128 Mb Chain FeRAM With Scalable Octal Bitline and Sensing Schemes

Hidehiro Shiga; Daisaburo Takashima; Shinichiro Shiratake; Katsuhiko Hoya; Tadashi Miyakawa; Ryu Ogiwara; Ryo Fukuda; Ryosuke Takizawa; Kosuke Hatsuda; F. Matsuoka; Yasushi Nagadomi; Daisuke Hashimoto; Hisaaki Nishimura; Takeshi Hioka; Sumiko Doumae; Shoichi Shimizu; Mitsumo Kawano; Toyoki Taguchi; Yohji Watanabe; Shuso Fujii; Tohru Ozaki; Hiroyuki Kanaya; Yoshinori Kumura; Yoshiro Shimojo; Yuki Yamada; Yoshihiro Minami; Susumu Shuto; Koji Yamakawa; Souichi Yamazaki; Iwao Kunishima

An 87.7 mm2 1.6 GB/s 128 Mb chain FeRAM with 130 nm 4-metal CMOS process is demonstrated. In addition to small bitline capacitance inherent to chain FeRAM architecture, three new FeRAM scaling techniques - octal bitline architecture, small parasitic capacitance sensing scheme, and dual metal plateline scheme - reduce bitline capacitance from 100 fF to 60 fF. As a result, a cell signal of ±220 mV is achieved even with the small cell size of 0.252 ¿m2. An 800 Mb/s/pin read/write bandwidth at 400 MHz clock is realized by installing SDRAM compatible DDR2 interface, and performance is verified by simulation. The internal power-line bounce noise due to 400 MHz clock operation is suppressed to less than 50 mV by an event-driven current driver, which supplies several hundreds of mA of current within 2 ns response. The precise timing and voltage controls are achieved by using the data stored in a compact FeRAM-fuse, which consists of extra FeRAM memory cells placed in edge of normal array instead of conventional laser fuse links. This configuration minimizes area penalty to 0.2% without cell signal degradation.


international solid-state circuits conference | 2005

A 63-mW H.264/MPEG-4 audio/visual codec LSI with module-wise dynamic Voltage/frequency scaling

Toshihide Fujiyoshi; Shinichiro Shiratake; Shuou Nomura; Tsuyoshi Nishikawa; Yoshiyuki Kitasho; Hideho Arakida; Yuji Okuda; Yoshiro Tsuboi; Mototsugu Hamada; Hiroyuki Hara; Tetsuya Fujita; Fumitoshi Hatori; Takayoshi Shimazawa; Kunihiko Yahagi; Hideki Takeda; Masami Murakata; Fumihiro Minami; Naoyuki Kawabe; Takeshi Kitahara; Katsuhiro Seta; Masafumi Takahashi; Yukihito Oowaki; Tohru Furuyama

A single-chip H.264 and MPEG-4 audio-visual LSI for mobile applications including terrestrial digital broadcasting system (ISDB-T / DVB-H) with a module-wise, dynamic voltage/frequency scaling architecture is presented for the first time. This LSI can keep operating even during the voltage/frequency transition, so there is no performance overhead. It is realized through a dynamic deskewing system and an on-chip voltage regulator with slew rate control. By the combination with traditional low power techniques such as embedded DRAM and clock gating, it consumes only 63 mW in decoding QVGA H.264 video at 15 frames/sec and MPEG-4 AAC LC audio simultaneously.


IEEE Journal of Solid-state Circuits | 1987

A new on-chip converter for submicrometer high-density DRAMs

Tohru Furuyama; Yorji Watanabe; Takashi Ohsawa; Shigeyoshi Watanabe

The converter described is a feedback-type voltage regulator which supplies a reduced voltage to an entire RAM circuit. A novel timing activation method was introduced to save power. The converter has been implemented on an experimental 4-Mb dynamic RAM. It was found that an even faster access time and higher reliability compared to a conventional design could be achieved by using an on-chip voltage converter and shorter channel transistors. This voltage converter is suitable for high-density, high-speed, and high-reliability DRAMs with submicrometer transistors.


international symposium on low power electronics and design | 1999

Variable supply-voltage scheme with 95%-efficiency DC-DC converter for MPEG-4 codec

Fuyuki Ichiba; Kojiro Suzuki; Shinji Mita; Tadahiro Kuroda; Tohru Furuyama

A variable supply-voltage (VS) scheme with a high power-conversion-efficiency DC-DC converter is presented. A new pulse width modulation (PWM) circuit for the DC-DC converter is proposed to reduce both power consumption and chip area. The power conversion efficiency reaches up to 95%, and the area is less than half of the conventional design. The VS scheme contains critical path replica circuits of an MPEG-4 codec LSI, and its output voltage is controlled by monitoring delay time of the replica circuits. Consequently the VS scheme can automatically generate minimal internal supply voltage that meets the demand from the operation frequency of an MPEG-4 codec LSI. The advantages of this circuit are successfully demonstrated through fabrication of a test chip using a 0.3 /spl mu/m CMOS technology.

Collaboration


Dive into the Tohru Furuyama's collaboration.

Researchain Logo
Decentralizing Knowledge