Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yoshiaki Kawamonzen is active.

Publication


Featured researches published by Yoshiaki Kawamonzen.


Proceedings of SPIE | 2015

Directed self-assembly lithography using coordinated line epitaxy (COOL) process

Yuriko Seino; Yusuke Kasahara; Hironobu Sato; Katsutoshi Kobayashi; Hitoshi Kubota; Shinya Minegishi; Ken Miyagi; Hideki Kanai; Katsuyoshi Kodera; Naoko Kihara; Yoshiaki Kawamonzen; Toshikatsu Tobana; Masayuki Shiraishi; Satoshi Nomura; Tsukasa Azuma

In this study, half-pitch (HP) 15 nm line-and-space (L/S) metal wires were successfully fabricated and fully integrated on a 300 mm wafer by applying directed self-assembly (DSA) lithography and pattern transfer for semiconductor device manufacturing. In order to evaluate process performances of DSA, we developed a simple sub-15 nm L/S patterning process using polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) lamellar block copolymer (BCP), which utilizes trimming resist and shallow etching spin-on-glass (SOG) as pinning guide[1]-[4]. From the results of defect inspection after SOG etch using Electron Beam (EB) inspection system, defects were classified as typical DSA defects or defects relating to DSA pattern transfer. From the evaluation of DSA L/S pattern Critical Dimension (CD), roughness and local placement error using CD-SEM, it is considered that isolated PS lines are placed at the centerline between guides and that placement of paired PS lines depends on the guide width. The control of the guide resist CD is the key to local placement error and the paired lines adjacent to the guide shifted toward the outside (0.5 nm) along the centerline of the isolated line after SOG etch. We demonstrated fabrication of HP 15 nm metal wires in trenches formed by the DSA process with reactive ion etching (RIE), followed by metal chemical vapor deposition (CVD) and chemical mechanical polishing (CMP). By SEM observation of alignment errors between the trenches and connect spaces, overlay shift patterns (-4 nm) in guide lithography mask were fabricated without intra-wafer alignment errors.


Proceedings of SPIE | 2014

Defect-aware process margin for chemo-epitaxial directed self-assembly lithography using simulation method based on self-consistent field theory

Katsuyoshi Kodera; Hironobu Sato; Hideki Kanai; Yuriko Seino; Naoko Kihara; Yusuke Kasahara; Katsutoshi Kobayashi; Ken Miyagi; Shinya Minegishi; Koichi Yatsuda; Tomoharu Fujiwara; Noriyuki Hirayanagi; Yoshiaki Kawamonzen; Tsukasa Azuma

We proposed a new concept of “defect-aware process margin.” Defect-aware process margin was evaluated by investigating the energy difference between the free-energy of the most stable state and that of the first metastable state. The energy difference is strongly related to the defect density in DSA process. As a result of our rigorous simulations, the process margin of the pinning layer width was found to be: (1) worse when the pinning layer affinity is too large, (2) better when the background affinity has the opposite sign of the pinning layer affinity, and (3) better when the top of the background layer is higher than that of the pinning layer by 0.1L0.


Proceedings of SPIE | 2015

RIE challenges for sub-15 nm line-and-space patterning using directed self-assembly lithography with coordinated line epitaxy (COOL) process

Yusuke Kasahara; Yuriko Seino; Katsutoshi Kobayashi; Hideki Kanai; Hironobu Sato; Hitoshi Kubota; Toshikatsu Tobana; Shinya Minegishi; Ken Miyagi; Naoko Kihara; Katsuyoshi Kodera; Masayuki Shiraishi; Yoshiaki Kawamonzen; Satoshi Nomura; Tsukasa Azuma

Directed self-assembly (DSA) is one of the promising candidates for next-generation lithography. We developed a novel simple sub-15 nm line-and-space (L/S) patterning process, the “coordinated line epitaxy (COOL) process,” using grapho- and chemo-hybrid epitaxy. In this study we evaluate the DSA L/S pattern transfer margin. Since defect reduction is difficult in the case of the DSA pattern transfer process, there is a need to increase the pattern transfer margin. We also describe process integration for electrical yield verification.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2015

Electrical yield verification of half-pitch 15 nm patterns using directed self-assembly of polystyrene-block-poly(methyl methacrylate)

Tsukasa Azuma; Yuriko Seino; Hironobu Sato; Yusuke Kasahara; Katsutoshi Kobayashi; Hitoshi Kubota; Hideki Kanai; Katsuyoshi Kodera; Naoko Kihara; Yoshiaki Kawamonzen; Satoshi Nomura; Ken Miyagi; Shinya Minegishi; Toshikatsu Tobana; Masayuki Shiraishi

A novel half-pitch (HP) 15 nm line pattern multiplication process with simple process steps and low cost-of-ownership using a polystyrene-block-poly(methyl methacrylate) lamellar block copolymer was developed to carry out process verification of directed self-assembly lithography on a 300 mm wafer for practical semiconductor device manufacturing. Electrical yield verification of HP 15 nm metal wire circuits fabricated by the HP 15 nm line pattern multiplication process was carried out on a 300 mm wafer. The electrical yield verification revealed the viability of the HP 15 nm line pattern multiplication process from the perspective of the total practical performance including critical dimension control, defect control, local placement error, line width roughness, line edge roughness, and process windows in the pattern transfer process.


Japanese Journal of Applied Physics | 2013

Nanoimprint Lithography of 20-nm-Pitch Dot Array Pattern Using Tone Reversal Process

Yasuaki Ootera; Katsuya Sugawara; Masahiro Kanamaru; Ryousuke Yamamoto; Yoshiaki Kawamonzen; Naoko Kihara; Yoshiyuki Kamata; Akira Kikitsu

The nanoimprint lithography (NIL) of a hexagonal dot array pattern with 20 nm pitch was demonstrated using a tone reversal process. The dot array was formed by the self-assembled polystyrene–poly(dimethylsiloxane) (PS–PDMS) diblock copolymer. The dot pattern was transferred to a hole pattern on the imprint resist layer by a UV-NIL process. The hole pattern was filled with spin-on-glass (SOG). By removing the imprint resist matrix, the SOG dot pattern was formed as a final mask layer. The surface tension of the imprint resist was adjusted to achieve high-quality pattern transfer and demolding. The standard deviation of the diameter and pitch of the dot pattern suffered about 1% drop through the UV-NIL and tone reversal process.


Proceedings of SPIE | 2016

Grapho-epitaxial sub-10nm line and space patterning using lamellar-forming Si-containing block copolymer

Hironobu Sato; Yusuke Kasahara; Naoko Kihara; Yuriko Seino; Ken Miyagi; Shinya Minegishi; Hitoshi Kubota; Katsutoshi Kobayashi; Hideki Kanai; Katsuyoshi Kodera; Yoshiaki Kawamonzen; Masayuki Shiraishi; Hitoshi Yamano; Satoshi Nomura; Tsukasa Azuma; Teruaki Hayakawa

Si-rich poly((polyhedral oligomeric silsesquioxane) methacrylate)-b-poly(trifluoroethyl methacrylate) (PMAPOSS-b- PTFEMA) was used to form 8-nm half-pitch line and space (L/S) pattern via grapho-epitaxy. Vertical alignment of the lamellae was achieved without using either a neutral layer or top-coating material. Because PMAPOSS-b-PTFEMA forms vertical lamellae on a variety of substrates, we used two types of physical guide structures for grapho-epitaxy; one was a substrate guide and the other was a guide with an embedded under layer. On the substrate guide structure, a fine L/S pattern was obtained with trench widths equal to 3–7 periods of the lamella spacing of the block copolymer, Lo. However, on the embedded under layer guide structure, L/S pattern was observed only with 3 Lo and 4 Lo in trench width. Cross-sectional transmission electron microscope images revealed that a thick PMAPOSS layer was formed under the PMAPOSS-b-PTFEMA L/S pattern. Pattern transfer of the PMAPOSS-b-PTFEMA L/S pattern was prevented by a thick PMAPOSS layer. To achieve pattern transfer to the under layer, optimization of the surface properties is necessary.


Proceedings of SPIE | 2016

Sub-10nm lines and spaces patterning using grapho-epitaxial directed self-assembly of lamellar block copolymers

Yuriko Seino; Hironobu Sato; Yusuke Kasahara; Shinya Minegishi; Ken Miyagi; Hitoshi Kubota; Hideki Kanai; Katsuyoshi Kodera; Masayuki Shiraishi; Naoko Kihara; Yoshiaki Kawamonzen; Toshikatsu Tobana; Katsutoshi Kobayashi; Hitoshi Yamano; Tsukasa Azuma; Satoshi Nomura

Our target at EIDEC is to study the feasibility of directed self-assembly (DSA) technology for semiconductor device manufacturing through electrical yield verification by development of such as process, material, metrology, simulation and design for DSA. We previously developed a grapho/chemo-hybrid coordinated line epitaxial process for sub-15-nm line-and-space (L/S) patterning using polystyrene-block-poly(methyl methacrylate) lamellar block copolymers (BCPs)1– 3. Electrical yield verification results showed that a 30% open yield was successfully achieved with a metal wire line length of 700 μm 4. In the next stage of the evaluation, a sub-10-nm L/S DSA patterning process based on graphoepitaxial DSA of 20-nm lamellar period organic BCPs was developed based on neutral layer and guide space width optimization. At a 30-nm guide height, problems such as BCP overflow and DSA line shorts were observed after the dry development. At a 60-nm guide height, grid-like short defects were observed under dry development shallow etch conditions and sub-10-nm L/S patterns were formed under optimized etch conditions with a suitable BCP film thickness margin. The process performance was evaluated in terms of defects and critical dimension measurements using an electron beam inspection system and critical dimension-scanning electron microscope metrology. The main DSA defects were short defects, and the spatial roughness appeared to be caused by the periodic pitches of these short defects and the guide roughness. We successfully demonstrated the fabrication of sub-10-nm metal wires consists of L/S, pad, connect and cut patterns with controlled alignment and stack structure through lithography, etching and CMP process on a 300- mm wafer using the fully integrated DSA process and damascene processing.


Proceedings of SPIE | 2016

Reactive ion etching challenges for half-pitch sub-10-nm line-and-space pattern fabrication using directed self-assembly lithography

Yusuke Kasahara; Yuriko Seino; Hironobu Sato; Hitoshi Kubota; Hideki Kanai; Naoko Kihara; Shinya Minegishi; Ken Miyagi; Toshikatsu Tobana; Masayuki Shiraishi; Katsutoshi Kobayashi; Katsuyoshi Kodera; Hitoshi Yamano; Yoshiaki Kawamonzen; Tsukasa Azuma

Directed self-assembly is a candidate process for sub-15-nm patterning applications. It will be necessary to develop the DSA process fully and consider process integration to adapt the DSA process for use in semiconductor manufacturing. We investigated the reactive ion etching (RIE) process for the fabrication of sub-10-nm metal wires using the DSA process and the process integration requirements for electrical yield verification. We evaluated the process using an organic high-chi block copolymer (BCP) with a lamellar structure. One critical issue during DSA pattern transfer involves the BCP bottom connection. The BCP bottom connections could be removed without BCP mask loss by using the optimum bias power and the optimum BCP film thickness. The sub-10-nm DSA line-and-space (L/S) patterns were successfully transferred to a SiO2 layer with sufficient film thickness for the fabrication of the metal wire. We also evaluated the overlay technique used in the process. The connect patterns and cut patterns were overlaid on 10-nm trenches fabricated by the DSA process.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2015

Simulation study on defect annihilation dynamics in directed self-assembly lithography

Katsuyoshi Kodera; Hideki Kanai; Hironobu Sato; Yuriko Seino; Katsutoshi Kobayashi; Yusuke Kasahara; Hitoshi Kubota; Naoko Kihara; Yoshiaki Kawamonzen; Shinya Minegishi; Ken Miyagi; Masayuki Shiraishi; Toshikatsu Tobana; Satoshi Nomura; Tsukasa Azuma

The authors have investigated the defect annihilation dynamics of the grid defects, which are one of the characteristic pattern defects in directed self-assembly lithography, using a simulation method based on self-consistent field theory (SCFT) and dissipative particle dynamics (DPD). First, the mesoscopic morphologies of metastable grid defects using SCFT were calculated. Then, the SCFT simulation result was transformed into the particle representation using the node density biased Monte Carlo method. Next the authors monitored the chronological change of the conformation of the diblock copolymer (BCP) chains during the defect annihilation process using DPD. By DPD simulation, the characteristic changes of the chain conformations of BCP immediately above the bottom neutral layer have been observed. Polymer chains immediately above the bottom were found to be (1) partially vertical to the bottom in the initial defective state conditions, (2) randomly oriented in the intermediate transient state, and (3) ...


Archive | 1997

Polyimide precursor composition, method of forming polyimide film, electronic parts and liquid crystal element

Yoshiaki Kawamonzen; Masayuki Oba; Satoshi Mikoshiba; Shigeru Matake

Collaboration


Dive into the Yoshiaki Kawamonzen's collaboration.

Top Co-Authors

Avatar

Ken Miyagi

Tokyo Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Hitoshi Kubota

National Institute of Advanced Industrial Science and Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge