Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Uwe Griesinger is active.

Publication


Featured researches published by Uwe Griesinger.


26th Annual International Symposium on Microlithography | 2001

Application of 3D EMF simulation for development and optimization of alternating phase-shifting masks

Armin Semmler; Leonhard Mader; Annika Elsner; Roderick Koehle; Uwe Griesinger; Christoph Noelscher

Besides halftone phase shifting masks (HTPSM) in combination with off-axis illumination alternating phase shifting masks (altPSM) are becoming more and more an important resolution enhancement technique. Their obvious benefits can only yield profit in production if certain mask properties like intensity and phase balance are controlled to a requisite extent. In order to achieve production capable masks within a reasonable time and cost frame simulation tools are of essential importance for mask development and manufacturing. Four our studies we employed solid-CMTM, a 3D EMF (electro magnetic field) simulator that handles arbitrary topographical masks. It is demonstrated by examples that these capabilities are mandatory for altPSM development. In this paper we discuss the effects of various issues relevant for development and manufacturing of altPSM on a basis of systematic 3D EMF simulations. For different balancing options sensitivity to phase errors, mask CD errors and pitch/feature size were investigated. Comparisons to 2D simulation are made for further illustration. We show the influence of certain mask errors on process window and draw conclusions for optimizing altPSM manufacturing.


20th Annual BACUS Symposium on Photomask Technology | 2001

Balancing of Alternating Phase Shifting Masks for Practical Application: Modeling and Experimental Verification

Uwe Griesinger; Leonhard Mader; Armin Semmler; Wolfgang Dettmann; Christoph Noelscher; Rainer Pforr

Alternating phase shifting masks have proven their capability to enhance the process window and to reduce the mask error enhancement factor effectively. The application of this mask type requires additional mask-properties compared to binary masks or halftone PSM. In this paper two of these mask-properties, the intensity and the phase balancing, are investigated experimentally for 4X and 5X masks at DUV and compared with simulations applying the T-Mask configuration of the SOLID-CMT program. In a first part the experimentally determined balancing results are discussed. For the measurements two independent methods are compared: Balancing measurements with an AIMS-system (MSM100) and direct optical phase and transmission measurements using a MPM-248 system. The T-Mask as a 3D Maxwell solver allows the simulation of real 3D mask topography. We compare the results of simulations with measured AIMS data. All available mask data like depth of trenches, thickness and composition of chromium/CrxOy layers, etc. are taken as input for the simulations. The comparison enables an assessment of the possibilities and limitations of 3D mask-simulation. Based on 3D mask simulations CD-sensitivity of the different balancing methods was investigated also taking the influence of proximity into account. The simulations allow an assessment of the CD-sensitivity for four analyzed mask types for feature sizes below 150nm on the wafer.


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Optimizing edge topography of alternating phase-shift masks using rigorous mask modeling

Christoph Friedrich; Leonhard Mader; Andreas Erdmann; Steffen List; Ronald L. Gordon; Christian K. Kalus; Uwe Griesinger; Rainer Pforr; Josef Mathuni; Guenther Ruhl; Wilhelm Maurer

This paper describes mask topography effects of alternating phase shift masks for DUV lithography. First two options to achieve intensity balancing are discussed. Global phase errors of +/- 10 degrees cause a CD change of 3 nm and 8 nm CD placement errors. The CD placement appears to be the parameter affected most by phase errors. A sloped quartz edge with an angle of 3 degrees causes a CD change of 10 nm. The CD sensitivity on local phase errors, i.e. quartz bumps or holes was also studied. The critical defect size of a quartz bump was seen to be 150 nm for 150 nm technology. For the investigation the recently developed topography simulator T-mask was used. The simulator was first checked against analytical tests and experimental results.


Photomask and next-generation lithography mask technology. Conference | 2002

Alternating phase-shifting masks: phase determination and impact of quartz defects--theoretical and experimental results

Uwe Griesinger; Wolfgang Dettmann; Mario Hennig; Jan P. Heumann; Roderick Koehle; Ralf Ludwig; Martin Verbeek; Mardjan Zarrabian

In optical lithography balancing the aerial image of an alternating phase shifting mask (alt. PSM) is a major challenge. For the exposure wavelengths (currently 248nm and 193nm) an optimum etching method is necessary to overcome imbalance effects. Defects play an important role in the imbalances of the aerial image. In this contribution defects will be discussed by using the methodology of global phase imbalance control also for local imbalances which are a result of quartz defects. The effective phase error can be determined with an AIMS-system by measuring the CD width between the images of deep- and shallow trenches at different focus settings. The AIMS results are analyzed in comparison to the simulated and lithographic print results of the alternating structures. For the analysis of local aerial image imbalances it is necessary to investigate the capability of detecting these phase defects with state of the art inspection systems. Alternating PSMs containing programmed defects were inspected with different algorithms to investigate the capture rate of special phase defects in dependence on the defect size. Besides inspection also repair of phase defects is an important task. In this contribution we show the effect of repair on the optical behavior of phase defects. Due to the limited accuracy of the repair tools the repaired area still shows a certain local phase error. This error can be caused either by residual quartz material or a substrate damage. The influence of such repair induced phase errors on the aerial image were investigated.


19th Annual Symposium on Photomask Technology | 1999

Transmission and phase balancing of alternating phase-shifting masks (5x): theoretical and experimental results

Uwe Griesinger; Rainer Pforr; Juergen Knobloch; Christoph Friedrich

Dual trench alternating phase shifting masks with an optimized value of the so-called shallow trench depth represents an interesting approach to overcome aerial image imbalances. In order to get a better understanding of the possibilities and limits of this approach, especially for 5X reduction, theoretical and experimental investigations were accomplished. In this paper experimental data obtained from 5X dual trench type alternating PSMs, using DUV-lithography are introduced and compared with 3D-mask simulations. The masks were fabricated with different etch depths and contain parts of typical DRAM patterns. Besides the transmission balancing also the phase balancing has an important influence on the effective process window of an alternating PSM. The effective phase error can be measured with an AIMS-system (MSM100). The comparison with simulated data allows the determination of the phase error. In a second step the influence of different balancing methods on phase and transmission were investigated with the TEMPEST mask simulator for unpolarized light. The optimization of the balancing with respect to the CD-bias, undercut and etch depth will be shown and a first approach of a sensitivity analysis will be presented.


17th European Conference on Mask Technology for Integrated Circuits and Microcomponents | 2001

Phase defect inspection of 130-nm node phase-shift masks using a simultaneous transmitted and reflected light pattern inspection algorithm

Larry S. Zurbrick; David Emery; Maciej W. Rudzinski; Mark J. Wihl; Michel Prudhomme; Christian Dr. Crell; Uwe Griesinger; Manuel Vorwerk; Mario Hennig

Phase shifting mask technology will be necessary to product integrated circuits at the 130 nm node using KrF wavelength steppers. In order to successfully accomplish this goal, it is necessary to detect and repair phase shifting defects that may occur in the manufacture of these reticles. An inspection algorithm has been developed to improve the phase shift defect detection rate of an UV reticle inspection system and is based upon the simultaneous use of the transmitted and reflected light signals. This paper describes the phase defect sensitivity improvement over transmitted light only pattern inspection results and simultaneous transmitted and reflected light based contamination inspection results.


16th European Conference on Mask Technology for Integrated Circuits and Microcomponents | 2000

Defect printability and repair of alternating phase-shift masks

Christoph Friedrich; Martin Verbeek; Leonhard Mader; Christian Dr. Crell; Rainer Pforr; Uwe Griesinger

This paper will start with an overview of the different defect types which can occur on alternating phase shifting masks. A test mask with programmed defects of these different types was fabricated. The defect printability was investigated using an AIMS system. These results were correlated to first printing results in the wafer-fab. The results give an overview of the requirements for an inspection and repair system for alternating phase shifting masks. In order to get a better understanding of this printability behavior first simulations of defects using a 3D mask simulation tool were carried out and compared to the measurements. Several examples of quartz-repairs with different qualities are presented together with the influence on the aerial image.


22nd Annual BACUS Symposium on Photomask Technology | 2002

Negative chemically amplified resist (nCAR) for DRAM mask fabrications

Martin Tschinkl; Christian Buergel; Uwe Griesinger; Barbara Jeansannetas; Armelle Vix

In this contribution we will demonstrate how the use of negative tone CAR can significantly improve the CD control of mask layers in which CD is measured on opaque features. A thorough investigation of the individual contributions of sequential process steps in mask making revealed that the final CD uniformity can by improved by 20% when a negative tone resist is used. In case of 50 keV electron beam (EB) mask writing systems, that employ variable shaped beam (VSB) writing, the writing time can be reduced by 40-50 % when a chemically amplified resist (CAR) is applied. Therefore we have evaluated and characterized a commercially available negative-tone CAR. The resist showed good pattern performance down to 150 nm for isolated and semi-isolated opaque lines thus having the ability to form assist bar features. Vertical profiles have been obtained. Line edge roughness (LER) is more pronounced for this material when compared to standard EB resist ZEP 7000. But analysis of CD uniformity (3σ) of 500 nm opaque lines in local area with negative CAR and with positive tone ZEP 7000 showed 4,8 nm and 6,2 nm, respectively. Thus substantiating that the negative CAR is advantageous in terms of opaque line CD control. Regarding soft bake (SB) and post exposure bake (PEB) latitude, the CAR is stable with respect to soft bake temperature variation (3,7 nm/°C). Much more severe is the steep PEB latitude with respect to dose of 0,7-1,3 (μC/cm2)/°C. This requires the use of high precision baking tools for the PEB step. Since all mask blanks have been coated in-house, we have investigated a variety of pre-treatment steps. The influence of each step was characterized by contact angle measurement. We found out that the best results have been achieved when the sequence H2SO4/H2O2-cleaning-UV/ozone-clean-dehydration bake is applied to virgin blanks as delivered by the blank supplier.


26th Annual International Symposium on Microlithography | 2001

Alternating phase-shifting mask application: effect of width and geometry of shifters, 3D EMF simulation and experimental verification

Armin Semmler; Annika Elsner; Roderick Koehle; Leonhard Mader; Rainer Pforr; Christoph Noelscher; Christoph Friedrich; Juergen Knobloch; Uwe Griesinger

Besides assist features in combination with HTPSM (half-tone phase shifting mask} and off-axis illumination altPSM (alternating phase shifting mask} is the major resolution enhancement technique to extend optical lithography to low k1. AltPSM in addition has the potential of superior CD control. However to achieve this in production altPSM has to fullfil a number of specifications with respect to phase and transmission. Another important aspect to obtain maximum CD control and overlapping process window for all kinds of structures at different pitches is that the phase shifters need to be optimized. Optimizing shifters by means of simulation results provides valuable input for both setting up design rules for altPSM application and for development of OPC strategies and software. Therefore various systems with different widths of lines and shifters were studied with special focus on basic asymmetric cases. We applied Solid-CM TM, a 3D EMF (electro magnetic field) simulator for our studies. Some results obtained from simulation were experimentally verified by wafer printing results (SEM imaging and CD measurement}. In addition, comparison to 2D simulation results clearly allows the determination of cases in which 3D effects have to be taken into account. The effect of varying shifters is monitored by pattern placement and process window analysis. We apply this investigation to develop solution strategies and to optimize shifter dimensions.


Archive | 2002

Alternating phase mask

Christoph M. Friedrich; Uwe Griesinger; Michael Heissmeier; Burkhard Ludwig; Molela Moukara; Rainer Pforr

Collaboration


Dive into the Uwe Griesinger's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge