Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Wai-Chung Tang is active.

Publication


Featured researches published by Wai-Chung Tang.


design automation conference | 2007

How much can logic perturbation help from netlist to final routing for FPGAs

Catherine L. Zhou; Wai-Chung Tang; Wing-Hang Lo; Yu-Liang Wu

One unique property of an FPGA chip is that any logic perturbation inside its Look-Up-Tables (LUTs) is totally area/delay-free. Amongst others, this free LUT-internal resource perturbation can also be used to trade for critical LUT-external logic/wire removals for EDA improvements, an extra flexibility ignored before. Using rewiring technique for such logic perturbations, we show that significant cut-downs upon already excellent results from the state-of-the-art DAOmap mappings and the TVPR place-and-route can still be obtained. This logic perturbation operation can further reduce the number of LUTs by up to 33.7% (avg. 10%) without delay penalty and also reduce critical path delay by up to 31.7% (avg. 11%) without disturbing placement or sacrificing area in the final routing. For delay reduction, under proper rewiring strategy, the CPU time used by rewiring is only 5% of the total run time consumed by TVPRs placement and routing. This idea of perturbing logic between the free LUT-internal and critical LUT-external circuit resources is simple and proved to be powerful. The encouraging results suggest a new technique for an optimization domain less explored for FPGA design flow.


international symposium on circuits and systems | 2007

Further Improve Excellent Graph-Based FPGA Technology Mapping by Rewiring

Wai-Chung Tang; Wing-Hang Lo; Yu-Liang Wu

FPGA technology mapping is conventionally solved without altering the circuit by modeling the circuit as a direct acyclic graph for the ease of applying graph algorithms. Clearly there is room for further improvement on even optimal technology mapping results if logic perturbation can be applied. In this paper, we propose logic-aware minimization methods to further reduce both depth and area for the purely-graph-based depth-optimal FPGA mapped results. For area minimization, the proposed method perturbs the subject circuit using rewiring technique and incrementally reduce the mapping area. Improving the outstanding technology mapping algorithm DAOMap, the method can further reduce area by 10.9%. An area reduction of 13.4% is achieved with synthesis results from BDS-pga. A logic level reduction scheme is also proposed and it further reduces LUT depth for half of the circuits tested without area penalty. A combination of logic level reduction and area minimization techniques can improve both the LUT depth and area by 11.3% and 6.1%, compared to results of FlowMap and FlowSYN respectively.


southern conference programmable logic | 2007

Fast Placement-Intact Logic Perturbation Targeting for FPGA Performance Improvement

Catherine L. Zhou; Wai-Chung Tang; Yu-Liang Wu

This work presents a novel, accurate, and fast post-layout logic perturbation method for improving LUT-based FPGA routing without affecting the placement. The ATPG-based rewiring techniques are used to design the rewiring engine, which is embedded into VPR, the most powerful academic FPGA CAD tool currently. Compared with VPRs high-quality results, our method can reduce critical path delay by up to 31.74% (avg. 10%) without disturbing placement or sacrificing area. The CPU time used by the rewiring engine is only 5% of the total time consumed by VPRs placement and routing. All the benchmark circuits can be placed and routed within 3 minutes, which is much faster than the SPFD approach. This paper also analyzes the power of the ATPG- based rewiring techniques in LUT-based FPGAs. Experimental results show that 3% of all nets can be replaced by their alternative wires for FPGA performance improvement.


design, automation, and test in europe | 2012

Almost every wire is removable: a modeling and solution for removing any circuit wire

Xiaoqing Yang; Tak-Kei Lam; Wai-Chung Tang; Yu-Liang Wu

Rewiring is a flexible and useful logic transformation technique through which a target wire can be removed by adding its alternative logics without changing the circuit functionality. In todays deep sub-micron era, circuit wires have become a dominating factor in most EDA processes and there are situations where removing a certain set of (perhaps extremely unwanted) wires is very useful. However, it has been experimentally suggested that the rewiring rate (percentage of original circuit wires being removable by rewiring) is only 30 to 40% for optimized circuits in the past. In this paper, we propose a generalized error cancellation modeling and flow to show that theoretically almost every circuit wire is removable under this flow. In the Flow graph Error Cancellation based Rewiring (FECR) scheme we propose here, a rewiring rate of 95% of even optimized circuits is obtainable under this scheme, affirming the basic claim of this paper. To our knowledge, this is the first known rewiring scheme being able to achieve this near complete rewiring rate. Consequently, this wire-removal process can now be considered as a powerful atomic and universal operation for logic transformations, as virtually every circuit node can also be removed through repetitions of this rewiring process. Besides, this modeling can also serve as a general framework containing many other rewiring techniques as its special cases.


international symposium on vlsi design, automation and test | 2009

Rewired retiming for free flip-flop reductions without delay penalty

Mingqi Jiang; Wai-Chung Tang; Evangeline F. Y. Young; Yu-Liang Wu

Due to the intrinsic difference between fan-in and fan-out counts of a retimed component, the number of flip-flops tends to be undesirably increased in a conventional retiming procedure, which can cause a significant area/power penalty on the retimed circuit. Nonetheless, because of the higher dominance on interconnect delays, without a mechanism to reflect real physical design accurately, the clock period produced by a retiming scheme will be unrealistic. To overcome these two major drawbacks of the conventional retiming technique, we propose a novel retiming flow combined with rewiring, being able to largely cut down flip-flops (FFs) while with the original retimed clock period uncompromised. For a more accurate delay estimation, all interconnect delays are formulated and calculated based on real placements. Experimental results show that this novel rewired retiming scheme can bring a reduction of 18.7% (on average) on the number of flip-flops compared to the original retiming without rewiring. This large FF reduction can be considered a free gain as the retimed clock period can still be kept without compromise in such flow. Further experiments have indicated that about 8.26% of the total dynamic power can be saved.


international symposium on circuits and systems | 2005

FPGA technology mapping optimization by rewiring algorithms

Wai-Chung Tang; Wing-Hang Lo; Yu-Liang Wu; Shih-Chieh Chang

Rewiring algorithms provide a new style of logic transformations by replacing a target wire with its alternative wire while maintaining the functionality of the circuit. In this paper, these algorithms are used to minimize the number of LUTs used to map a given circuit with Flowmap. The proposed approach is to evaluate each alternative wire with Flowmap and choose the first one which can reduce the number of LUTs by 1 or more. Despite its simplicity, it can efficiently transform the circuit to one suitable to be mapped with Flowmap and used in FPGA. Experimental result shows that the proposed approach can reduce up to 17% of the LUTs in a circuit without any depth increment.


asia and south pacific design automation conference | 2012

ECO timing optimization with negotiation-based re-routing and logic re-structuring using spare cells

Xing Wei; Wai-Chung Tang; Yi Diao; Yu-Liang Wu

To maintain a lower re-masking cost, Engineering Change Order (ECO) using pre-placed spare cells for buffer insertion and gate sizing has been shown to be practical for fixing timing violating paths (ECO paths). However, in the previously known best scheme DCP [1, 2], re-routings are done with each path optimized according to its surrounding available spare cells without considering potential exchanges with neighboring active cells, and spare cell arbitration between competing ECO paths are less addressed. Besides, the extra flexibility for allowing logic restructuring was not exploited. In this work, we develop a framework harnessing the following more flexible strategies to make the usage of spare cells for ECO timing optimization more powerful: (1) a negotiation based re-routing scheme yielding a more global view in solving resource competition arbitration; (2) an extended gate sizing operation to allow exchanges of active gates with spare gates of different function types through equivalent logic re-structuring. Our experiments upon MCNC and ITC benchmarks with highly injected timing violations show that compared to DCP, our newly proposed framework can cut down the average total negative slack (TNS) by 50% and reduce the number of unsolved ECO paths by 31%.


ACM Transactions on Design Automation of Electronic Systems | 2012

ECR: A Powerful and Low-Complexity Error Cancellation Rewiring Scheme

Tak-Kei Lam; Wai-Chung Tang; Xiaoqing Yang; Yu-Liang Wu

Rewiring is known to be a class of logic restructuring technique that is at least equally powerful in flexibility compared to other logic transformation techniques. Especially it is wiring sensitive and is particularly useful for interconnect-based circuit synthesis processes. One of the most well-studied rewiring techniques is the ATPG-based Redundancy Addition and Removal (RAR) technique which adds a redundant alternative wire to make an originally irredundant target wire become redundant and thus removable. In this article, we propose a new Error-Cancellation-based Rewiring scheme (ECR) which can also identify non-RAR-based rewiring operations with high efficiency. In ECR scheme, it is not necessary for alternative wires to be redundant. Based on the notion of error cancellation, we analyze and reformulate the rewiring problem, and a more generalized rewiring scheme is developed to detect more rewiring cases which are not obtainable by existing schemes while it still maintains a low runtime complexity. Comparing with the most recent non-RAR rewiring tool IRRA, the total number of alternative wires found by our approach is about doubled (202%) while the CPU time used is just slightly more (8%) upon benchmarks preoptimized by ABC’s rewriting. Our experimental results also suggest that the ECR engine is more powerful than IRRA in FPGA technology mapping.


international symposium on physical design | 2011

Grid-to-ports clock routing for high performance microprocessor designs

Haitong Tian; Wai-Chung Tang; Evangeline F. Y. Young; Cliff C. N. Sze

Clock distribution in VLSI designs is of crucial importance and it is also a major source of power dissipation of a system. For todays high performance microprocessors, clock signals are usually distributed by a global clock grid covering the whole chip, followed by post-grid routing that connects clock loads to the clock grid. Early study [2] shows that about 18.1% of the total clock capacitance dissipation was due to this post-grid clock routing (i.e., lower mesh wires plus clock twig wires). This post-grid clock routing problem is thus an important one but not many previous works have addressed it. In this paper, we try to solve this problem of connecting clock ports to the clock grid through reserved tracks on multiple metal layers, with delay and slew constraints. Note that a set of routing tracks are reserved for this grid-to-ports clock wires in practice because of the conventional modular design style of high-performance microprocessors. We propose a new expansion algorithm based on the heap data structure to solve the problem effectively. Experimental results on industrial test cases show that our algorithm can improve over the latest work on this problem [1] significantly by reducing the capacitance by 24.6% and the wire length by 23.6%. We also validate our results using hspice simulation. Finally, our approach is very efficient and for larger test cases with about 2000 ports, the runtime is in seconds.


asia and south pacific design automation conference | 2013

Mountain-mover: An intuitive logic shifting heuristic for improving timing slack violating paths

Xing Wei; Wai-Chung Tang; Yu-Liang Wu; Cliff C. N. Sze; Charles J. Alpert

Based on a simple intuitive notion, in this paper, we propose an efficient post-placement improvement scheme. Based on the given timing slack distribution of a circuit, a corresponding “slack mountain map” can be visualized with peaks representing most violating (negative) slacks and valleys representing non-critical (positive) slacks respectively. Guided by this map, violating paths are eliminated or improved when slack mountains are flattened by applying a local logic perturbation technique (rewiring) iteratively to shift logic resources from critical to non-critical areas. Due to the locality property of the rewiring technique, to better avoid being stuck at local minimums, instead of running rewiring operations from the peak top towards lower areas, we do this local logic shifting starting from “sea areas” (most non-critical) towards peak (most critical) areas. At the end, as the slack map is more flattened, a circuit with slack violations more evenly distributed can be yielded. Comparing to the recent work [1], our experimental results demonstrate that this scheme can obtain a better or comparable delay reduction but with CPU time one order of magnitude smaller.

Collaboration


Dive into the Wai-Chung Tang's collaboration.

Top Co-Authors

Avatar

Yu-Liang Wu

The Chinese University of Hong Kong

View shared research outputs
Top Co-Authors

Avatar

Tak-Kei Lam

The Chinese University of Hong Kong

View shared research outputs
Top Co-Authors

Avatar

Xing Wei

The Chinese University of Hong Kong

View shared research outputs
Top Co-Authors

Avatar

Yi Diao

The Chinese University of Hong Kong

View shared research outputs
Top Co-Authors

Avatar

Catherine L. Zhou

The Chinese University of Hong Kong

View shared research outputs
Top Co-Authors

Avatar

Xiaoqing Yang

The Chinese University of Hong Kong

View shared research outputs
Top Co-Authors

Avatar

Evangeline F. Y. Young

The Chinese University of Hong Kong

View shared research outputs
Top Co-Authors

Avatar

Wing-Hang Lo

The Chinese University of Hong Kong

View shared research outputs
Top Co-Authors

Avatar

Haitong Tian

The Chinese University of Hong Kong

View shared research outputs
Researchain Logo
Decentralizing Knowledge