Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where William R. Brunsvold is active.

Publication


Featured researches published by William R. Brunsvold.


Proceedings of SPIE, the International Society for Optical Engineering | 1996

Lithographic performance of an environmentally stable chemically amplified photoresist (ESCAP)

Will Conley; Gregory Breyta; William R. Brunsvold; Richard A. Di Pietro; Donald C. Hofer; Steven J. Holmes; Hiroshi Ito; Ronald W. Nunes; Gabrielle Fichtl; Peter R. Hagerty; James W. Thackeray

Improved stabilization of chemically amplified photoresist images can be achieved through reduction of free volume by film densification. When the host polymer has good thermal stability, the softbake temperature can be above or near the glass transition temperature (Tg) of the polymer. Annealing (film densification) can significantly improve the environmental stability of the photoresist system. Improvements in the photoacid generator, processing conditions, and overall formulation coupled with high NA (numerical aperture) exposure systems afford 200 nm linear resolution with excellent post-exposure delay stability. In this paper, lithographic data is shown for the improved ESCAP photoresist system (now called UVIIHS) currently under development for DRAM and logic device technology. We review the photoresist system, along with process- and formulation-related experiments on device levels and substrates demonstrating excellent 250 nm and sub-250 nm process windows.


Advances in resist technology and processing. Conference | 1997

New ESCAP-type resist with enhanced etch resistance and its application to future DRAM and logic devices

Will Conley; William R. Brunsvold; Fred Buehrer; Ronald A. DellaGuardia; David M. Dobuzinsky; Timothy R. Farrell; Hok Ho; Ahmad D. Katnani; Robin Keller; James T. Marsh; Paul K. Muller; Ronald W. Nunes; Hung Y. Ng; James M. Oberschmidt; Michael Pike; Deborah Ryan; Tina J. Cotler-Wagner; Ron Schulz; Hiroshi Ito; Donald C. Hofer; Gregory Breyta; Debra Fenzel-Alexander; Gregory M. Wallraff; Juliann Opitz; James W. Thackeray; George G. Barclay; James F. Cameron; Tracy K. Lindsay; Michael F. Cronin; Matthew L. Moynihan

This new photoresist system extends the capability of the ESCAP platform previously discussed. (1) This resist material features a modified ESCAP type 4-hydroxystyrene-t-butyl acrylate polymer system which is capable of annealing due to the increased stability of the t-butyl ester blocking group. The resist based on this polymer system exhibits excellent delay stability and enhanced etch resistance versus previous DUV resists, APEX and UV2HS. Improved stabilization of chemically amplified photoresist images can be achieved through reduction of film volume by film densification. When the host polymer provides good thermal stability the soft bake conditions can be above or near the Tg (glass transition) temperature of the polymer. The concept of annealing (film densification) can significantly improve the environmental stability of the photoresist system. Improvements in the photoacid generator, processing conditions and overall formulation coupled with high NA (numerical aperture) exposure systems, affords linear lithography down to 0.15 micrometer for isolated lines with excellent post exposure delay stability. In this paper, we discuss the UV4 and UV5 photoresist systems based on the ESCAP materials platform. The resist based on this polymer system exhibits excellent delay stability and enhanced etch resistance versus APEX-E and UV2HS. Due to lower acrylate content, the Rmax for this system can be tuned for feature-type optimization. We demonstrate sub-0.25 micrometer process window for isolated lines using these resists on a conventional exposure tool with chrome on glass masks. We also discuss current use for various device levels including gate structures for advanced microprocessor designs. Additional data will be provided on advanced DRAM applications for 0.25 micrometer and sub-0.25 micrometer programs.


26th Annual International Symposium on Microlithography | 2001

193-nm single-layer resist materials: total consideration of design, physical properties, and lithographic performances on all major alicyclic platform chemistries

Toru Kajita; Yukio Nishimura; Masafumi Yamamoto; Hiroyuki Ishii; Akimasa Soyano; A. Kataoka; Mark Slezak; Makoto Shimizu; Pushkara Rao Varanasi; G. Jordahamo; Margaret C. Lawson; Rex Chen; William R. Brunsvold; Wenjie Li; Robert D. Allen; Hiroshi Ito; Hoa D. Truong; Thomas I. Wallow

The objective of this report will be to clarify the maturity of the current 193 SLR materials. We are going to report on all major platform chemistries, i.e.,(meth) acrylate system, ROMP system, cyclic olefin addition system, cyclic olefin/maleic anhydride system, vinyl ether/maleic anhydride system, and cyclyzed system at the same time. We are going to discuss maturity of each platform from several viewpoints such as polymerization process, physical properties of the resins, lithographic performances of the resists, and process latitude of the resists including etch performances. We are also referring to several critical issues such as etch resistance, surface roughness after etch, line slimming, etc. Three major platform chemistries, (meth)acrylate, COMA, and addition, are selected in order to cover the whole spectra of layer requirements. Those three systems respectively show characteristics lithographic performances.


Advances in Resist Technology and Processing X | 1993

Negative DUV photoresist for 16Mb-DRAM production and future generations

Will Conley; William R. Brunsvold; Richard A. Ferguson; Jeffrey D. Gelorme; Steven J. Holmes; Ronald M. Martino; Magda Petryniak; Paul A. Rabidoux; Ratnam Sooriyakumaran; John L. Sturtevant

This paper discusses a new negative tone aqueous base developable photoresist that has demonstrated excellent sub-half micron resolution with commercially available DUV (deep ultraviolet) exposure systems. This system which consists of a phenolic resin (pHOST), a glycoluril crosslinker (TMMGU), and a triflic acid generating material is currently in use for the manufacturing of 16 M b-DRAM and related CMOS logic technology. We provide supporting manufacturing data relating to our experiences with this program, along with the benefits realized by the implementation of a negative tone photoresist system.


Advances in Resist Technology and Processing VII | 1990

Sensitivity enhancers for chemically amplified resists

William R. Brunsvold; Ranee W. Kwong; Warren Montgomery; Wayne M. Moreau; Harbans S. Sachdev; Kevin M. Welsh

The addition of phenolic compounds to positive tone chemically amplified resists has increased sensitivity by approximately 2X for Deep UV exposures and up to 5Xfor X-ray imaging. Sensitivity enhancement during e-heam exposures was only 20%. Additives like hydroquinone sensitize various acid generators including triphenyl sulfonium triflate (TPS) and N-tosyloxyphthalimide (PTS) without affecting contrast and image profiles. The sensitization occurs in poly(t-butyloxycarbonyloxystyrene) as well as in base soluble resins. With PTS, the predominant mechanism is believed to involve electron transfer from the excited singlet or triplet state of the additive to the acid generator. For onium salt, direct photolysis plays a significant role in acid generation so that the effect of the additives is not as great as with PTS.


Optical Engineering | 1987

Resist Technology For Submicrometer Optical Lithography

William R. Brunsvold; Dale Murray Crockatt; George Joseph Hefferon; Christopher F. Lyons

This paper reviews the impact of advances in photoresists and processing on submicrometer imaging using optical lithography. Among the topics discussed for the extension of single-layer resists into the submicrometer regime are the use of dyes, the development of materials for the deep-UV region, image reversal, and contrast-enhancement layers. Approaches to dealing with substrate topographical effects, such as multilayer resists and antireflection coatings, are reviewed. Future directions to extend optical lithography further and address current problems are discussed.


Advances in Resist Technology and Processing IX | 1992

Novel DNQ PACs for high-resolution i-line lithography

William R. Brunsvold; Nicholas K. Eib; Christopher F. Lyons; Steve Seiichi Miura; Marina V. Plat; Ralph R. Dammel; O. B. Evans; M. Dalil Rahman; Dinesh N. Khanna; Sangya Jain; Ping-Hung Lu; Stanley A. Ficner

The use of i-line lithography for the 16 to 64 Mbit DRAM device generations calls for increased performance of i-line resists. This paper reports on investigations on novel sensitizers for advanced i-line lithography, starting out with a discussion of general design criteria, then discussing methodology and results of a screening phase, and examining in greater detail a small number of selected candidates for which resolution, exposure latitude, and depth-of-focus data were obtained. Finally, a new advanced resist for i-line lithography, AZR 7500, is presented, and its performance is evaluated in terms of the above criteria as well as thermal flow resistance.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Evolution of a 193-nm bilayer resist for manufacturing

Ranee W. Kwong; Mahmoud Khojasteh; Margaret C. Lawson; Timothy Hughes; Pushkara Rao Varanasi; William R. Brunsvold; Robert D. Allen; Phillip J. Brock; Ratnam Sooriyakumaran; Hoa D. Truong; Arpan P. Mahorowala; David R. Medeiros

As 193 nm resist moves into production with minimum feature sizes approaching 100nm, bilayer resist is being evaluated more closely for certain applications. Our polymer design has been evolving to meet tighter outgassing requirements. Optical density, etch resistance and dissolution behavior are other considerations. The protecting group used in our 248 nm bilayer is not useful for 193 nm lithography because of the high optical density contribution from Si-Si linkage. Silicon was incorporated into a COMA platform for the first generation polymer. Maleic anhydride is used to modulate dissolution characteristics. The first generation 193 nm bilayer was optimized to print 120 nm L/S patterns with an attenuated PSM on a 0.6 NA Nikon S302. We will describe next generation platforms that address silicon outgassing concern. The lithographic performance of these resists was evaluated on a 0.6 NA Nikon S302 with a dark field mask. Results for 280nm pitch (1:1 L/S) and 245 nm pitch (105 nm L, 140 nm S) lithography are presented. Also shown is result for a 245 nm pitch (1:1 L/S) and 210 nm pitch (1:1 L/S) on a 0.75 NA ASML PAS 5500/1100. Outgassing data generated at MIT Lincoln Laboratory will be discussed.


Advances in resist technology and processing. Conference | 1997

PHS with inert blocking groups for DUV negative resist

William R. Brunsvold; Will Conley; Pushkara Rao Varanasi; Mahmoud Khojasteh; Niranjan M. Patel; Antoinette F. Molless; Mark O. Neisser; Gregory Breyta

The synthesis, characterization, and lithographic evaluation of a polyhydroxystyrene (PHS) modified with isopropyloxycarbonate groups is described. The inert blocking group is attached to the hydroxyl sites on PHS resin to slow the dissolution rate and make the resin useful in resists designed for 0.263 N TMAH developers. A negative tone resist (CGR-IP) that is formulated with the modified polymer is compatible with the industry standard 0.263 N TMAH developer and is capable of resolving 0.22 micrometer L/S features and 0.14 micrometer isolated lines on a 0.50 NA imaging system. Reaction with PHS resin occurs primarily at the phenolic sites as shown by carbon-13 NMR and 10% protection is sufficient to lower the dissolution rate to an acceptable level so that there is less than 50 angstrom film loss in exposed areas. The blocking group described here is not acid labile and reaming intact after the resist film is baked at 150 degrees Celsius.


Advances in Resist Technology and Processing VI | 1989

Polyhydroxystyrene Carbonate Esters for High Sensitivity Photoresists Having Autodecomposition Temperatures > 160°

William R. Brunsvold; Willard Earl Conley; Dale Murray Crockatt; N. Iwamoto

There are particular polymeric materials having acid labile groups pendant to the polymer backbone which can be used to produce resist structures having autodecomposition temperatures greater than 160°C. The increase in autodecomposition temperature of the resist is achieved by selecting acid labile groups which upon acid catalyzed deprotection generate less stable intermediate carbonium ions than the t-butyl carbonium ion. Acid labile groups that provide increased autodecomposition stability include those capable of forming secondary aliphatic and deactivated secondary benzylic carbonium ion intermediates. There must be a hydrogen on a carbon adjacent to the carbonium ion in order for elimination of an alkene and a proton to occur and continue the chemical amplification process. The acid labile pendant groups can be part of a polystyrene homopolymer or part of copolymers containing styrene and maleimide units.

Researchain Logo
Decentralizing Knowledge