Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Wayne M. Moreau is active.

Publication


Featured researches published by Wayne M. Moreau.


Journal of Vacuum Science & Technology B | 2000

Aqueous-based photoresist drying using supercritical carbon dioxide to prevent pattern collapse

Dario L. Goldfarb; Juan J. de Pablo; Paul F. Nealey; John P. Simons; Wayne M. Moreau; Marie Angelopoulos

A supercritical drying process was developed to eliminate the capillary forces naturally present during normal drying of photoresist materials. Supercritical carbon dioxide (scCO2), organic solvents and surfactants were used to prevent the collapse of high-aspect-ratio structures fabricated from aqueous-based photoresist. Nondistorted resist lines were patterned with this process with aspect ratios of at least 6.8. Water rinsed resist structures cannot be dried directly with scCO2 due to the low solubility of water in the supercritical phase. In our process we introduced the replacement of the aqueous rinse by n-hexane mediated by a compatible surfactant. The surfactant allowed to incorporate the aqueous phase into micellar microdomains in the organic phase while keeping the interfacial tension at values close to zero. Noncollapsed supercritically dried structures were rewet in n-hexane or water and dried using nitrogen at atmospheric pressure. Under these conditions, the patterns were collapsed as a resu...


Ibm Journal of Research and Development | 2001

Recent progress in electron-beam resists for advanced mask-making

David R. Medeiros; Arieh Aviram; C. R. Guarnieri; Wu-Song Huang; Ranee Wai-Ling Kwong; Christopher Magg; Arpan P. Mahorowala; Wayne M. Moreau; Karen Petrillo; Marie Angelopoulos

Resists for advanced mask-making with high-voltage electron-beam writing tools have undergone dramatic changes over the last three decades. From PMMA and the other early chain-scission resists for micron dimensions to the aqueous-base-developable, dry-etchable chemically amplified systems being developed today, careful tuning of the chemistry and processing conditions of these resist systems has allowed the patterning of photomasks of increasing complexity containing increasingly finer features. Most recently, our research efforts have been focused on a low-activation-energy chemically amplified resist based on ketal-protected poly(hydroxystyrene). These ketal resist systems, or KRSs, have undergone a series of optimization and evaluation cycles in order to fine-tune their performance for advanced mask-fabrication applications using the 75-kV IBM EL4+ vector scan e-beam exposure system. The experiments have led to an optimized formulation, KRS-XE, that exhibits superior lithographic performance and has a high level of processing robustness. In addition, we describe advanced formulations of KRS-XE incorporating organometallic species, which have shown superior dry-etch resistance to novolak-based resists in the Cr etch process while maintaining excellent lithographic performance. Finally, current challenges facing the implementation of a chemically amplified resist in the photomask manufacturing process are outlined, along with current approaches being pursued to extend the capabilities of KRS technology.


Advances in Resist Technology and Processing XI | 1994

Properties and performance of near-UV reflectivity control layers (RCL)

Thomas J. Lynch; Valerie R. Paradis; A Mark; Wayne M. Moreau

To overcome the limitations of two-component formulations, we have synthesized polymeric dyes which offer no or low bake processing and have suitable I line absorbance in films less than 100 nm thick. The polymeric RCL films are synthesized from absorbing dyes which are grafted onto polymers which are insoluble in the resist casting solvents. We have utilized amine dyes which are imidized onto polymeric backbones by a one step synthesis. The peak absorbance of the grafted dye after imidization undergoes a blue shift of about 30 nm and thus appropriate H line dyes are used to achieve I line peak absorbance.


26th Annual International Symposium on Microlithography | 2001

Image collapse issues in photoresist

John P. Simons; Dario L. Goldfarb; Marie Angelopoulos; Scott A. Messick; Wayne M. Moreau; Christopher F. Robinson; Juan J. de Pablo; Paul F. Nealey

The continued shrinkage of line widths below 150nm has not been without concerns of the mechanical stability of the resist structure. The development of the exposed resist undergoes many phase changes such as solid solubilization into a liquid followed by drying by volatilization. In the development of features especially with aspect ratio (AR) >3 and for lines/spaces (l/s)<1:2, the phase changes create interfacial tensions at the liquid/solid and gas/liquid/solid surfaces that can cause image collapse (IC). Aqueous based resist are particularly susceptible to collapse due to the high surface tension of the rinse water (72 mN/m). Two major steps to reduce or eliminate the IC are to strengthen the mechanical stability of the resist or to reduce the surface tension of the drying/rinse liquid. We have investigated various methods to reduce the surface tension of the water rinse either by lowering the surface tension of the rinse liquid or by removal of the rinse water prior to drying. Positive ArF and KrF resist and negative resist have been investigated. To reduce the surface tension of rinse liquid, the addition of surfactant followed by drying is a direct method which may be used. Indirectly, the prior removal of water by solvents or emulsification with inert components followed by drying in the supercritical fluid of CO2 can maintain high aspect ratio images at AR>3. Negative resist are more applicable to process as they can tolerate a wider variety of co- solvents of CO2 phillic surfactants.


Optical Engineering | 1983

State Of Art Of Acrylate Resists: An Overview Of Polymer Structure And Lithographic Performance

Wayne M. Moreau

The acrylate family of resists based on polymethyl methacrylate (PMMA) has paved the way for submicron lithography. The physical and chemical modifications, which have improved the performance of PMMA, are reviewed. These improvements include sensitivity to radiation (electron-beam, optical, ion, and x-ray), thermal and plasma process stability, and superior film quality. Structural modifications of the acrylate polymer chain have been the main contributors to enhanced lithographic performance.


Proceedings of SPIE, the International Society for Optical Engineering | 1996

Deep-UV hardening of deep-UV resists

George M. Jordhamo; Wayne M. Moreau

Deep UV (200 - 300 nm) or electron beam hardening has been used extensively to enhance the thermal flow resistance of i-line based diazoquinone-novolak resists. Since novolaks have Tg below 125 degrees Celsius, the images require stabilization for processing at elevated temperatures. The images of hardened resists are able to withstand elevated temperatures of greater than 150 degrees Celsius such as encountered in ion implantation or reactive ion etching. The next generation of positive resists are based on polyhydroxystyrene (PHS) either as a homopolymer with acid labile additives or as copolymer with acid labile groups. Since the Tg of PHS is above 130 degrees Celsius, the resists based on homopolymer have inherent stability to temperatures in the 150 - 200 degrees Celsius range. However, many formulations use copolymers with groups which lower the Tg to 100 - 150 degrees Celsius and thus the processing of unhardened images at elevated temperatures may cause distortion. We have investigated the photo/thermochemical stabilization of PHS by deep UV (200 - 300 nm radiation). Irradiation of films or in solution of PHS causes photoexcitation of the phenol portion of PHS inducing quinone formation and crosslinking. The reaction is aided by the presence of oxygen and heating. Exposure at elevated temperatures of 125 degrees Celsius reduces the dose to gel by 40% while exposure in air reduces the dose requirement by 50%. In the deep UV (240 - 260 nm) region the darkening reaction is due to quinone formation. ESCA studies of photolyzed PHS confirm the incorporation of oxygen into the backbone and into the aromatic ring of PHS. The presence of photoacid from PAG enhances the photocrosslinking of PHS up to 40%. Photostabilized images are able to withstand temperatures in excess of 200 degrees Celsius.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Reactive ion etch studies of DUV resists

Ranee W. Kwong; Wayne M. Moreau; Wendy Yan

The morphology and degradation of the polymeric DUV resist of polyhydroxystyrene/t-butyl acrylate copolymers after CF4/O2/Ar/CHF3 reactive ion etching (RIE) was studied. The surface pitting in the area of 10 nm with spikes as large as 85 nm were found. DUV resists with higher t-butylacrylate content in the main chain were susceptible to main chain scission and surface pitting. The entrapment of volatile fragments by the deposited amorphous fluorocarbon polymer during the RIE process leads to surface distortions. Pre-hardening of the resist by electron beam reduces the surface pitting by two fold. Negative resist based on polyhydroxystyrene homopolymer with minimum volatile produced very smooth films with a tenfold reduction in roughness factor. The future design of positive resist should include the RIE performance factor of minimal outgassing and minimum sidewall surface roughness.


Journal of Vacuum Science & Technology B | 1988

Contrast and sensitivity enhancement of resists for high‐resolution lithography

Kaolin Grace Chiong; Karen Petrillo; Fritz Juergen Hohn; Alan D. Wilson; Wayne M. Moreau

Novolac based resists have received much attention in the submicron device fabrication area because of their high dry etch resistance. In high‐resolution device fabrication requirements novolac resists suffer from a lack in sensitivity and resolution capability. In order to make use of these high‐quality resists, approaches to improve the resolution capability and sensitivity of conventional positive resists have been a major interest of research. Development techniques such as two‐step and spray development techniques to improve resist contrast have been reported, but neither is well characterized and well understood. In this paper, we report an interrupted development technique which enhances the contrast of an electron beam (e‐beam) exposed diazonapthoquinone novolac, AZ‐type resist. As a result of this enhancement, submicron features varying in density can be controlled properly in size and in image profile. The resolution capability of this resist as a single‐layer system is extended to the one‐quart...


Advances in Resist Technology and Processing XI | 1994

Design of a bottom antireflective layer for optical lithography

James Thomas Fahey; Wayne M. Moreau; Kevin M. Welsh; Steve Seiichi Miura; Nicholas K. Eib; Gary T. Spinillo; John L. Sturtevant

The advent of deep-UV(DUV), chemically amplified, acid catalyzed photoresists as successors to positive diazoquinones photoresists has brought about a new set of process environment concerns directed towards all materials in contact or absorbed by the photoresists. In addition to the application of DUV bottom anti-reflective coatings (BARCs) to suppress optical reflection and subsequent linewidth distortion, we must consider the properties and interaction of the BARC layer with the labile photoacid of the latent image. In this regard, we have examined the physico-chemical aspects of the DUV BARC with regards to acting as a barrier layer to substrate poisoning, and as an optical absorbing layer that does not interact and/or distort the deep-UV profile. Various single component polymeric BARCs were synthesized and examined. Considerations will be discussed of the optical absorbance, the coating quality, dry etch rate, and the impermeability of the BARC layer to photoacid diffusion to fulfill the performance requirements of BARCs for DUV lithography.


Advances in Resist Technology and Processing VII | 1990

Sensitivity enhancers for chemically amplified resists

William R. Brunsvold; Ranee W. Kwong; Warren Montgomery; Wayne M. Moreau; Harbans S. Sachdev; Kevin M. Welsh

The addition of phenolic compounds to positive tone chemically amplified resists has increased sensitivity by approximately 2X for Deep UV exposures and up to 5Xfor X-ray imaging. Sensitivity enhancement during e-heam exposures was only 20%. Additives like hydroquinone sensitize various acid generators including triphenyl sulfonium triflate (TPS) and N-tosyloxyphthalimide (PTS) without affecting contrast and image profiles. The sensitization occurs in poly(t-butyloxycarbonyloxystyrene) as well as in base soluble resins. With PTS, the predominant mechanism is believed to involve electron transfer from the excited singlet or triplet state of the additive to the acid generator. For onium salt, direct photolysis plays a significant role in acid generation so that the effect of the additives is not as great as with PTS.

Researchain Logo
Decentralizing Knowledge