Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Wu-Song Huang is active.

Publication


Featured researches published by Wu-Song Huang.


Microelectronic Engineering | 2002

Nanocomposite resist systems for next generation lithography

Lhadi Merhari; Kenneth E. Gonsalves; Yongqi Hu; W He; Wu-Song Huang; Marie Angelopoulos; Wilhelm H. Bruenger; C. Dzionk; M. Torkler

A novel nanocomposite resist system was developed for sub-100 nm resolution e-beam lithography by dispersing surface-treated silica nanoparticles in a commercial ZEP520® resist. At 4.0 wt.% loading of silica nanoparticles, the system exhibited a much higher resolution than ZEP520® without sacrificing the intrinsic sensitivity and contrast of the starting polymer. The first major result is that 46 nm-wide isolated lines were obtained in the nanocomposite system (∼250 nm-thick layer), whereas comparatively 130 nm-wide lines were obtained in ZEP520® under the same experimental conditions. Contrary to standard e-beam resists, this important reduction of line broadening already occurred at 20 keV while higher energy e-beams (up to 100 keV) did not lead to further line broadening reduction. Moreover, it was shown that the addition of silica nanoparticles resulted in a higher resistance of the nanocomposite to plasma etching with 02 gas. Subjecting this nanocomposite resist to 75-keV Xe+ ion irradiation showed that it is also particularly suitable for ion projection lithography as a preliminary resolution of 114 nm (1/s) was obtained while the sensitivity increased by a factor of 40 compared to 30-keV electrons. Extending the nanocomposite approach to KRS-XE®, a chemically amplified resist, led to both enhanced resolution and mechanical stability for electron beam lithography. The major resolution and etch resistance improvements in both resist systems indicate that nanocomposite systems are promising candidates not only for sub-100 nm resolution e-beam lithography but also for ion projection lithography. Supported by preliminary Monte Carlo simulations a tentative mechanism highlighting the electron-nanocomposite interactions as the explanation for line broadening reduction is proposed.


Proceedings of SPIE | 2008

Resist freezing process for double exposure lithography

Kuang-Jung Rex Chen; Wu-Song Huang; Wai-kin Li; P. Rao Varanasi

In this study, we have developed a thermal freezing process to prevent intermixing between 1st patterned positive resist and 2nd positive resist. Based on solvent solubility switch characteristic of polymer after higher temperature bake, a prototype of polymer consisting of methyladmantane mathacrylate, norbornanecarbo lactone mathacrylate and hydroxyl admantane mathacrylate was selected for resist-on-resist double exposure experiment to prevent the intermixing between layers. Photo sensitivity shifting of this prototype resist after post develop bake further facilitates the design by preventing 1st layer resist distortion from 2nd exposure. Lastly, through composition and formulation optimization, 35nm L/S patterns were successfully demonstrated by using a 1.2NA stepper.


26th Annual International Symposium on Microlithography | 2001

Investigation on the mechanism of the 193-nm resist linewidth reduction during the SEM measurement

ChungHsi J. Wu; Wu-Song Huang; K. Rex Chen; Charles N. Archie; Mark E. Lagus

Linewidth reduction (or line slimming) of resist features has been previously observed during routine scanning electron microscopy (SEM). The impact of the linewidth reduction may result in measurement precision and accuracy errors and potential device reliability issues due to permanent feature deformation. The magnitude and the origin of these effects for various resist platforms are not well understood. In this study the measurement of the extent of slimming is performed on two 193nm single layer resist (SLR) platforms, including an acrylate based SLR and a polynorborene (poly-CO) based SLR. The maximum shrinkage is found to be approximately 15% and varies as a function of resist composition and electron beam landing energy and flux. Mechanisms for the resist shrinkage that impact both the physical (e.g., annealing or solvent loss) and chemical (e.g., bond scission, cross-linking, fragmentation, or deprotection) properties are evaluated. Potential methods for reducing the slimming effect are proposed in this paper.


Proceedings of SPIE, the International Society for Optical Engineering | 1996

Negative-tone resist system using vinyl cyclic acetal crosslinker

Wu-Song Huang; Kim Y. Lee; K. Rex Chen; Dominic J. Schepis

Most high performance negative tone resists are chemically amplified systems. The chemistry involves a creation of acid during photo-exposure and subsequent crosslinking of the polymer matrix during post-exposure bake. The commonly used crosslinkers are epoxies, melamines, benzyl alcohol and benzyl acetates. In light of the high reactivity of vinyl group on vinyl ether type compounds, literature has suggested that photochemical addition reaction of a polymer- bearing pendant vinyl ether with various thiol compounds can potentially be highly sensitive negative-type photoresists. Recently, bis-dihydropyrane derivative has been used for the first time to develop high performance negative tone resists for DUV, E-beam and x-ray applications. A cyclic acetal system based on acetal blocked aromatic aldehyde has also been demonstrated to be a good crosslinker for negative DUV resist. In order to take advantage of the above chemistries, we have investigated a crosslinker, 3,9-divinylspirobi(M-dioxane) (DVSDO), which contains both cyclic acetal groups and vinyl groups. Different loadings of DVSDO from 8% to 17% were formulated in combination with triphenyl sulfonyl triflate and N-sulfonyl triflate derivatives in polyhydroxystyrene matrix. One composition contains 8% N- sulfonyloxy derivative, 12% DVSDO in 20% solid of polyhydroxystyrene has shown resolution to 0.35 micrometer from Canon 0.37 NA DUV stepper. It also shows promising resolution in E-beam lithography. Varying the post apply bake (PAB) temperatures and post exposure bake temperatures (PEB) demonstrate a great dependency of sensitivity to baking temperature. The sensitivity increases with decreasing PAB, while decreases with decreasing PEB. Insufficient baking time (less than 4 - 5 minutes) at lower PEB temperature 90 degrees Celsius causes significant film loss after development in 0.14N TMAH for 60 - 75s. On the other hand, when the PEB temperature is too high (greater than 120 degrees Celsius), the resists resolution is degraded. Recent literature report has shown that high resolution can be achieved on negative resist system by raising PAB temperature. However, most of the known resist systems cannot tolerate high temperature, due to background crosslinking. The crosslinker, DVSDO, introduced in this paper seems to have higher heat resistance toward thermal induced background crosslinking. The resist formulated here can tolerate 140 degrees Celsius bake without seeing residues on the unexposed area. In this paper, we also discuss some IR studies on the crosslinking mechanism in addition to the lithographic evaluation.


Advances in Resist Technology and Processing XI | 1994

Evaluation of a new environmentally stable positive tone chemically amplified deep-UV resist

Wu-Song Huang; Ranee W. Kwong; Ahmad D. Katnani; Mahmoud Khojasteh

Similar to most of the reported positive deep-UV resists, this new resist is also a chemically amplified system. However, unlike other resists, this resist if resilient to airborne base contaminants and it shows stable resist linewidth with more than 24 hours delay between exposure and develop. This resist has high sensitivity (17-18 mJ/cm2), high contrast (7), high resolution (0.35 micrometers with (lambda) equals 248 nm and NA equals 0.37) and large process latitude in deep UV-lithography. This system does not require postexposure bake. When a postexposure bake is introduced, the linewidth variation is in the range of 2-3 nm per degree. This eliminates the concern of linewidth variation in resist images due to slight variation of postexposure baking temperature, which is commonly encountered in chemically amplified resist systems. This resist system is environmentally stable like conventional DQ system; at the same time, it retains most of the merits of chemically amplified system.


Microelectronic Engineering | 1995

New negative tone resists for sub-quarter micron lithography

Harbans S. Sachdev; Ranee Wai-Ling Kwong; Wu-Song Huang; Ahmad D. Katnani; Krishna Gandi Sachdev

A new acid amplified negative tone resist system is described which utilizes the formation of tetrahydropyranyl ether group for the crosslinking reaction. In a three component system, the phenolic groups of the matrix resin add to the dihydropyranyl groups of the crosslinker in the imaged areas. N-sulfonyloxy triflate or triphenyl sulfonium triflate are used as photoacid generators. The resist has excellent sensitivity to DUV, E-Beam, and X-Ray and is also used for positive tone surface imaging.


Advances in Resist Technology and Processing XI | 1994

Environmentally stable chemically amplified DUV resist based on diazoketone chemistry

Premlatha Jagannathan; Wu-Song Huang; Ahmad D. Katnani; George Joseph Hefferon; Robert Lavin Wood

This paper describes a resist that uses a polymer bound diazoacetoacetate as a photoacid generator in a two component system. The diazoacetoacetate is not used merely as a photoactive component, but its utility is extended to function as a photoacid generator for deprotection chemistry. The carboxylic acid generated upon exposure deprotects carboxylic acid-labile groups bound to another polymer backbone. This scheme has led to a resist with excellent performance like lithographically useful photospeed, resolution, environmental stability and aqueous base solubility combined with wide process latitudes. The resist does not require a topcoat or additives for stabilization towards airborne contaminants. The photo acid is a weak acid and hence allows fairly high concentrations of the acid generator to be used which helps in reducing contamination effects. Furthermore, being bound to a polymer backbone, diffusion into unexposed regions is limited and therefore exhibits greater stability towards PEB delay effects. Loss from surface due to volatility is also reduced in a polymeric acid generator compared to low molecular weight, monomeric compounds.


Proceedings of SPIE | 2009

Progress towards production worthy developable BARCs (DBARCs)

James F. Cameron; John Amara; Gregory P. Prokopowicz; Jin Wuk Sung; David Valeri; Adam Ware; Kevin O'Shea; Yoshihiro Yamamoto; Tomoki Kurihara; Libor Vyklicky; Wu-Song Huang; Irene Popova; Pushkara Rao Varanasi

Developable bottom anti-reflective coating (DBARC) technology holds promise in two main areas of lithography. The first application of DBARC is in implant lithography where patterning implant levels would greatly benefit from improved reflection control such as provided by a conventional BARC. However, implant layers cannot withstand BARC open etch thereby making DBARC an attractive solution as the resist and DBARC are simultaneously dissolved during the development step leaving the underlying substrate ready for implantation. In comparison to current implant processes with top anti-reflective coatings (TARC), DBARCs are anticipated to offer improvements in reflection control which would translate to improved CDU and increased process window for both KrF and ArF implants. Indeed, this area has long been considered the ideal insertion point for DBARC technology. The second area where DBARC technology can make a significant impact is in non-implant lithography. In this large segment, the ability to replace a conventional BARC with a DBARC affords the device maker the ability to simplify both lithographic and integration processes. By replacing the BARC with a DBARC, the BARC open etch is negated. Furthermore, by applying this strategy on multilayer stacks it is possible to greatly simplify the process by avoiding both CVD steps and pattern transfer steps thereby easing integration. In this area, DBARC technology could have merit for low k1 KrF and ArF (dry) lithography as well as in immersion ArF processes. This paper describes our results in designing production worthy DBARCs for both implant and non-implant applications. A newly developed KrF DBARC platform is evaluated for logic implant applications and compared to a standard TARC implant process. Post develop residue and defectivity are checked for the new platform and the results compared to production worthy BARC and implant resists. A new ArF platform was also developed and initial lithographic results are reported for an implant application. Several non-implant applications were also investigated and results are reported for high resolution KrF and ArF (dry) lithography as well as an immersion ArF process.


Proceedings of SPIE | 2013

Development of KrF hybrid resist for a dual-isolation application

Sen Liu; Steven J. Holmes; Kuang-Jung Chen; Wu-Song Huang; Ranee Kwong; Greg Breyta; Bruce B. Doris; Kangguo Cheng; Scott Luning; M. Vinet; Laurent Grenouillet; Qing Liu; Matt Colburn; Chung-Hsi Wu

As an option to traditional positive or negative photoresist, hybrid resist has been developed to provide an alternative way to create small trench features, at the range of 20-60 nm, by generating with a single expose, with both positive and negative responses to TMAH developer in one resist layer. [1] Here we report the design and development of a series of frequency-doubling KrF hybrid resists for an Extremely Thin Silicon on Insulator (ETSOI) dual-isolation application for 20 nm node and beyond. The resist formulations were optimized in terms of photo-acid generators (PAGs), PAG loading level and polymers. The resulting KrF hybrid resists are compatible with conventional KrF lithography processes, including conventional illumination, binary masks and 0.26 N TMAH developer, to afford a spacewidth of 20-60 nm. The space CD can be controlled by means of formulation and process options, but is insensitive to expose dose and mask CD. On integrated wafers, the hybrid resists have demonstrated good lithography performance, including through-pitch CD uniformity, focus/expose process window, profile, LER and RIE behavior. This hybrid resist process has been used to fabricate initial development structures for high performance dual-isolation ETSOI devices.


Proceedings of SPIE | 2012

Focus improvement with NIR absorbing underlayer attenuating substructure reflectivity

Wu-Song Huang; Dario L. Goldfarb; Wai-kin Li; Martin Glodde; Kazumi Noda; Seiichiro Tachibana; Masaki Ohashi; Dah-Chung Owe-Yang; Takeshi Kinsho

Process dependent focus leveling errors occur in photolithography when there is unpredicted reflectivity originating from multilayer structures on the fully integrated process wafer. The typical wavelength used in optical focus sensors is in the near infrared (NIR) range which is highly transparent to most dielectric materials. Consequently, the reflected light from underlying structures perturbs the accuracy of the leveling signal reflected from resist surface. To alleviate this issue, air-gauge focus sensors have been used to measure the wafer surface topography for an in-situ calibration to correct the focus leveling error. Using an air-gauge sensor is a slow process and a throughput detractor. Therefore, an NIR-absorbing underlayer has been developed for easy insertion into existing resist coating processes. It has been demonstrated that the air-gauge sensor can be turned off without showing any degradation in leveling data or litho performance on back end of line (BEOL) integrated wafers.

Researchain Logo
Decentralizing Knowledge