Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Mahmoud Khojasteh is active.

Publication


Featured researches published by Mahmoud Khojasteh.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Reactive ion etching of fluorine containing photoresist

Kaushal S. Patel; Victor Pham; Wenjie Li; Mahmoud Khojasteh; Pushkara Rao Varanasi

Photoresist are commonly used in semiconductor processing as soft masks for pattern transfer into multilayer stacks using reactive ion etching. The etch performance of the photoresist during such a process is an important consideration during resist selection. Since resist composition strongly influences its etch performance, understanding the correlation is important for resist development as well as etch optimization. In this paper, blanket etch rate of photoresist used in ArF lithography were examined as a function of polymer structure and atomic content. In particular, the impact of Fluorine content on blanket etch rate was studied. Etch results for a diverse sample of development and commercial resists were first fitted with the Ohnishi parameter [2] and ring parameter [3] models. The Ohnishi model correlates the carbon, hydrogen and oxygen atomic content of the resist to blanket etch rate whereas the ring parameter model is based on the cyclic carbon content. Since some of the evaluated resists contained fluorine which significantly influences the etch performance, both models were found to be inadequate in assessing its impact. Thus we introduce a modified Ohnishi parameter model to help examine the relative contributions due to resist fluorine content. With the new empirical model, good fits were obtained for etch rates of a fluorine containing resists. The model also enabled analysis of the etch process to determine the relative influence of oxygen versus fluorine content in the resist on etch rate. Finally, the model was utilized to design newer etch resistant materials and the improved etch performance was verified.


26th Annual International Symposium on Microlithography | 2001

IBM 193-nm bilayer resist: materials, lithographic performance, and optimization

Ranee W. Kwong; Pushkara Rao Varanasi; Margaret C. Lawson; Timothy Hughes; George M. Jordhamo; Mahmoud Khojasteh; Arpan P. Mahorowala; Ratnam Sooriyakumaran; Phillip J. Brock; Carl E. Larson; Debra Fenzel-Alexander; Hoa D. Truong; Robert D. Allen

193nm lithography will be the future technology for sub- 150nm resolution. As the dimensions get smaller, resist thickness is also needed to be reduced for better resolution and wider process window. Single layer 193nm resist, with thickness of less than 500nm, may not be able to satisfy some of the substrate etch requirement. With bilayer resist scheme, the thin resist offers the advantages of high resolution and good process window. The thick underlayer provides the etch resistance required for substrate etching. IBM has developed a silane substituted alternating copolymer based 193nm bilayer resist system and demonstrates sub-120nm resolution using Nikon 0.6NA stepper with Chrome on Glass (COG) mask. Lithographic performance and formulation optimizations of this 193nm bilayer resist as well as underlayer evaluation and some etch study will be discussed.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Edge lithography as a means of extending the limits of optical and nonoptical lithographic resolution

Steven J. Holmes; Toshiharu Furukawa; Mark C. Hakey; David V. Horak; Paul A. Rabidoux; K. Rex Chen; Wu-Song Huang; Mahmoud Khojasteh; Niranjan M. Patel

Lithographic scaling entails continuously increasing resolution while at the same time improving the tolerance control on the printed images. Typically, this has been done by using shorter actinic wavelengths, increasing numerical aperture, compensating reticle patterns and similar methods that serve to enhance the fidelity of the aerial image. In some case, this scaling has been achieved by altering the method of image formation, such as with the use of alternating phase shift reticles, in which the width of the aerial image is established by phase interference rather than of a diffraction-limited process of passing light through a dark masking pattern. This paper describes development of a resist material that provides a new way to scale lithographic patterns, one similar to alternating phase shift lithography in the sense that it prints the edge of an aerial image rather than the entire image as a single pattern. Because the edge of the aerial image is of higher resolution, with smaller components of tolerance than the entire image, this type of resist may provide a new method of scaling.


IEEE Transactions on Semiconductor Manufacturing | 2013

Post Copper CMP Hybrid Clean Process for Advanced BEOL Technology

Wei-Tsu Tseng; Vamsi Devarapalli; James J. Steffes; Adam Ticknor; Mahmoud Khojasteh; Praneetha Poloju; Colin Goyette; David Steber; Leo Tai; Steven E. Molis; Mary Zaitz; Elliott Rill; Michael Kennett; Laertis Economikos; Naftali E. Lustig; Christine Bunke; Connie Truong; Michael P. Chudzik; Stephan Grunow

A “hybrid” post Cu CMP cleaning process that combines acidic and basic clean in sequence is developed and implemented. The new process demonstrates the strengths of both acidic and basic cleans and achieves a more than 60% reduction in CMP defects, such as polish residues, foreign materials, slurry abrasives, scratches, and hollow metal, relative to an all-basic clean process. It also eliminates the circular ring defects that occur intermittently during roller brush cleans. TXRF scans confirm the reduction of AlOx defects when using the hybrid clean process. XPS spectra show similar Cu surface oxidation states between the basic and hybrid clean processes. As revealed by XRD analysis, surface Cu oxide is dissolved into aqueous solution by the acidic clean chemical. The formation mechanism of circular ring defects and the key to their elimination is discussed.


Advances in resist technology and processing. Conference | 2005

193nm single layer photoresists: defeating tradeoffs with a new class of fluoropolymers

Pushkara Rao Varanasi; Ranee W. Kwong; Mahmoud Khojasteh; Kaushal S. Patel; Kuang-Jung Chen; Wenjie Li; Margaret C. Lawson; Robert D. Allen; Ratnam Sooriyakumaran; Phillip J. Brock; Linda K. Sundberg; Mark Slezak; Gary Dabbagh; Zhi Liu; Yukio Nishimura; Takashi Chiba; Tsutomu Shimokawa

The focus of this paper is to utilize the acidity of hexafluoroalcohol (HFA) in addressing performance deficiencies associated with current 193nm methacrylate resist materials. In this study, we have designed and developed a variety of HFA pendant methacrylate monomers and the corresponding imaging polymers for ArF lithography. It was shown that typical swelling behavior observed in methacrylate resists can be substantially reduced or eliminated by replacing commonly used multicylcic lactone polar functionalities with acidic HFA side chains. The incorporation of aliphatic spacers between HFA and polymer backbone were found to be more effective than cyclic hindered moieties, in achieving linear dissolution characteristics. The typical poor etch stability associated with fluorine atoms in HFA can be substantially minimized by designing side chains with a combination of appropriate cyclic and aliphatic moieties and fine-tuning the corresponding polymer compositions. PEB sensitivity of high activation energy protecting group (e.g., methyladamentyl group) based methacrylate resists can be substantially improved through the incorporation of acidic HFA side chains (6nm/C to <1 nm/C). The key application space for HFA-methacrylate resists appears to be trench level lithography. It was also demonstrated that these HFA materials are compatible with immersion lithography and result in dramatically improved process windows for iso trench features, in addition to other lines/space features.


Advances in Resist Technology and Processing XI | 1994

Evaluation of a new environmentally stable positive tone chemically amplified deep-UV resist

Wu-Song Huang; Ranee W. Kwong; Ahmad D. Katnani; Mahmoud Khojasteh

Similar to most of the reported positive deep-UV resists, this new resist is also a chemically amplified system. However, unlike other resists, this resist if resilient to airborne base contaminants and it shows stable resist linewidth with more than 24 hours delay between exposure and develop. This resist has high sensitivity (17-18 mJ/cm2), high contrast (7), high resolution (0.35 micrometers with (lambda) equals 248 nm and NA equals 0.37) and large process latitude in deep UV-lithography. This system does not require postexposure bake. When a postexposure bake is introduced, the linewidth variation is in the range of 2-3 nm per degree. This eliminates the concern of linewidth variation in resist images due to slight variation of postexposure baking temperature, which is commonly encountered in chemically amplified resist systems. This resist system is environmentally stable like conventional DQ system; at the same time, it retains most of the merits of chemically amplified system.


Proceedings of SPIE | 2007

High-performance 193nm photoresists based on fluorosulfonamide

Wenjie Li; Kuang-Jung Chen; Ranee Kwong; Margaret C. Lawson; Mahmoud Khojasteh; Irene Popova; P. Rao Varanasi; Tsutomu Shimokawa; Yoshikazu Yamaguchi; Shiro Kusumoto; Makoto Sugiura; Takanori Kawakami; Mark Slezak; Gary Dabbagh; Zhi Liu

The combination of immersion lithography and reticle enhancement techniques (RETs) has extended 193nm lithography into the 45nm node and possibly beyond. In order to fulfill the tight pitch and small critical dimension requirements of these future technology nodes, the performance of 193nm resist materials needs to further improve. In this paper, a high performance 193nm photoresist system based on fluorosulfonamide (FSM) is designed and developed. The FSM group has good transparency at 193nm. Compared to the commonly used hexafluoroalcohol (HFA) group, the trifluoromethyl sulfonamide (TFSM) functionality has a lower pKa value and contains less fluorine atoms. Polymers containing the TFSM functionality have exhibited improved dissolution properties and better etch resistance than their HFA counterparts. Resists based on the FSM-containing polymers have shown superior lithographic performance for line, trench and contact hole levels under the 45nm node exposure conditions. In addition, FSM resists have also demonstrated excellent bright field and dark field compatibility and thereby make it possible to use one resist for both bright field and dark field level applications. The structure, property and lithographic performance of the FSM resist system are reported.


Advances in Resist Technology and Processing XX | 2003

High-performance 193-nm photoresist materials based on a new class of polymers containing spaced ester finctionalities

Mahmoud Khojasteh; K. Rex Chen; Ranee W. Kwong; Margaret C. Lawson; Pushkara Rao Varanasi; Kaushal S. Patel; Eiichi Kobayashi

ArF lithography has been selected as the imaging method for the 90 nm technology node. Manufacturing related issues will have to be addressed when designing advanced 193 nm resists that are production worthy. Post exposure bake (PEB) sensitivity, dissolution properties and process window are some issues that need continuous improvement. Initially our investigation focused on a cyclic olefin (CO) platform which led us to a better understanding of the relationship between polymer structure and physical properties and how to improve cyclic olefin resist performance. Since then we have developed a new class of acrylate polymers with pendant “spaced ester” functionality. We have investigated the potential use of “spaced ester” functionality on improving the lithographic performance of CO and acrylate resist platforms. We have found that with “spaced ester” as pending group in CO polymer structures, it can lower the Tg and improve the dissolution properties of the CO resists. Resists formulated with acrylate containing “spaced ester” group exhibit excellent PEB temperature sensitivity (1 nm/°C), and are soluble in PGMEA. In addition, we have demonstrated sub-100 nm resolution with excellent process window through formulation optimization for acrylate based resists. This paper will focus on the “spaced ester” based polymer design, material properties; resist characteristics, and the lithographic performance for logic dense line applications.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Evolution of a 193-nm bilayer resist for manufacturing

Ranee W. Kwong; Mahmoud Khojasteh; Margaret C. Lawson; Timothy Hughes; Pushkara Rao Varanasi; William R. Brunsvold; Robert D. Allen; Phillip J. Brock; Ratnam Sooriyakumaran; Hoa D. Truong; Arpan P. Mahorowala; David R. Medeiros

As 193 nm resist moves into production with minimum feature sizes approaching 100nm, bilayer resist is being evaluated more closely for certain applications. Our polymer design has been evolving to meet tighter outgassing requirements. Optical density, etch resistance and dissolution behavior are other considerations. The protecting group used in our 248 nm bilayer is not useful for 193 nm lithography because of the high optical density contribution from Si-Si linkage. Silicon was incorporated into a COMA platform for the first generation polymer. Maleic anhydride is used to modulate dissolution characteristics. The first generation 193 nm bilayer was optimized to print 120 nm L/S patterns with an attenuated PSM on a 0.6 NA Nikon S302. We will describe next generation platforms that address silicon outgassing concern. The lithographic performance of these resists was evaluated on a 0.6 NA Nikon S302 with a dark field mask. Results for 280nm pitch (1:1 L/S) and 245 nm pitch (105 nm L, 140 nm S) lithography are presented. Also shown is result for a 245 nm pitch (1:1 L/S) and 210 nm pitch (1:1 L/S) on a 0.75 NA ASML PAS 5500/1100. Outgassing data generated at MIT Lincoln Laboratory will be discussed.


Advances in resist technology and processing. Conference | 1997

PHS with inert blocking groups for DUV negative resist

William R. Brunsvold; Will Conley; Pushkara Rao Varanasi; Mahmoud Khojasteh; Niranjan M. Patel; Antoinette F. Molless; Mark O. Neisser; Gregory Breyta

The synthesis, characterization, and lithographic evaluation of a polyhydroxystyrene (PHS) modified with isopropyloxycarbonate groups is described. The inert blocking group is attached to the hydroxyl sites on PHS resin to slow the dissolution rate and make the resin useful in resists designed for 0.263 N TMAH developers. A negative tone resist (CGR-IP) that is formulated with the modified polymer is compatible with the industry standard 0.263 N TMAH developer and is capable of resolving 0.22 micrometer L/S features and 0.14 micrometer isolated lines on a 0.50 NA imaging system. Reaction with PHS resin occurs primarily at the phenolic sites as shown by carbon-13 NMR and 10% protection is sufficient to lower the dissolution rate to an acceptable level so that there is less than 50 angstrom film loss in exposed areas. The blocking group described here is not acid labile and reaming intact after the resist film is baked at 150 degrees Celsius.

Researchain Logo
Decentralizing Knowledge