Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yannick Vesters is active.

Publication


Featured researches published by Yannick Vesters.


Extreme Ultraviolet (EUV) Lithography IX | 2018

EUV photoresist patterning characterization for imec N7/N5 technology

Danilo De Simone; Vito Rutigliani; Gian F. Lorusso; Peter De Bisschop; Yannick Vesters; Victor M. Blanco Carballo; Geert Vandenberghe

In the last year, the continuous efforts on the development of extreme ultraviolet (EUV) lithography has allowed to push the lithographic performance of the EUV photoresists on the ASML NXE:3300 full field exposure tool. Today imec N7 node (equivalent to foundry N5) is the first scaling node at which industry will likely insert EUV into production which will bring a reduction in processing steps therefore reducing total cost of ownership [1], increasing yield and reducing time to ramp. However, the high-volume-manufacturing (HVM) requirement to have a cost-effective low exposure dose photoresist (<20mJ/cm2) remains a big challenge and roughness and pattern defectivity at nano-scale are the major limiting factors of the lithographic process window of EUV resist when looking at tight pitches below 40nm [2, 3]. To be effective during the lithographic EUV material screening phase for such tight pitches, it is necessary to implement complementary metrology analyses that can provide precise information on the resist roughness and a quick feedback on the quantification of nano-failures (nano-bridges, broken lines, merging or missing contacts) induced by a stochastic EUV patterning regime, the random nature of the light-matter interaction and consequent chemical reactions. Beside the traditional approach to characterize a resist with metrics as exposure latitude (EL%), depth of focus (DoF) and line-edge-roughness (LER) based on CDSEM measurements, we have used the power spectra density (PSD) [4] to get an unbiased value of the resist line roughness (LWR and LER) by using Fractilia metroLERTM commercial software. Further, we have used Stochalis imec software [5] to quantify patterning nano failures providing an early stage assessment on the patterning fidelity of the examined resists. We present the resist characterization results for 32nm dense line-space pattern on different substrates and for 36nm dense and orthogonal contact hole pitch pattern for different photoresists. Two positive tone chemically amplified (CA) resists have been identified at the exposure dose of 45mJ/cm2 and 33mJ/cm2 for logic (pitch 32nm dense line/space) and memory (pitch 36nm dense contact holes) use cases, respectively.


Advanced Optical Technologies | 2017

Photoresists in extreme ultraviolet lithography (EUVL)

Danilo De Simone; Yannick Vesters; Geert Vandenberghe

Abstract The evolutionary advances in photosensitive material technology, together with the shortening of the exposure wavelength in the photolithography process, have enabled and driven the transistor scaling dictated by Moore’s law for the last 50 years. Today, the shortening wavelength trend continues to improve the chips’ performance over time by feature size miniaturization. The next-generation lithography technology for high-volume manufacturing (HVM) is extreme ultraviolet lithography (EUVL), using a light source with a wavelength of 13.5 nm. Here, we provide a brief introduction to EUVL and patterning requirements for sub-0-nm feature sizes from a photomaterial standpoint, discussing traditional and novel photoresists. Emphasis will be put on the novel class of metal-containing resists (MCRs) as well as their challenges from a manufacturing prospective.


International Conference on Extreme Ultraviolet Lithography 2018 | 2018

Progress in multi-trigger resists for EUV lithography (Conference Presentation)

Alex Philip Graham Robinson; Carmen Popescu; Alexandra McClelland; Guy Dawson; John Roth; Yannick Vesters; Dimitrios Kazazis; Yasin Ekinci; Wolfgang Theis; Danilo De Simone; Geert Vandenberghe

Recent tool and source advances make the introduction of EUV lithography into high volume manufacturing in the very near future inevitable. Whilst traditional chemically amplified resists will likely support the initial insertion, a wide range of materials options are being examined for future nodes, aiming to identify a photoresist that simultaneously meets the resolution, line edge roughness and sensitivity requirement. However, this issue represents a fundamental trade-off in lithography (the RLS triangle) and it is difficult to overcome. For instance, addition of quenchers in chemically amplified resists reduces the acid diffusion length and increases the resolution of the patterned features, but decreases the sensitivity, and impacts on material stochastics affecting the line edge roughness. In this study we present results obtained with Irresistible Materials’ Multi Trigger Resist. The multi trigger concept enables high sensitivity patterning but also incorporates a quenching behaviour into the chemistry to improve resolution. The standard material consists of a base molecule – EX2, a crosslinker and a PAG. EUV light generates photoacids, as with a traditional chemically amplified resist, but the response of the resist matrix implements a logic-type function. Where two resist molecules are activated by two acids, in close proximity to each other, then the resist molecules will react catalytically and release both acids. When a resist molecule encounters a single acid in isolation then it will hold on to the acid, without itself reacting, thus removing the acid from the reaction. This behaviour allows a high sensitivity response at a certain dose threshold but turns the resist response off much more quickly (as a 2nd order reaction) as the dose decreases, leading to sharper lines and lower line width roughness. We present results where the molecular structure was modified to create enhanced versions of the standard resin. This will offer higher cross-linking capability and better mechanical strength to reduce the LER, wiggling and defects, and thus ultimately higher resolution. We present the lithography performance of the MTR2 resist series which shows 16nm half pitch lines patterned with a dose of 38mJ/cm2, giving a LER of 3.7 nm when patterned using an NXE3300. We also present a new resist formulation using a crosslinker with a high opacity non-metallic atom attached, which has patterned 13nm lines at the Paul Scherrer Institute (14nm half pitch) and also 13nm lines on the MET tool at Berkeley (20nm half pitch) with an LER of 4.24nm. We also present the lithographic performance of the MTR3 resist series which is 10% faster than the MTR2 series when patterning with EUV lithography at PSI, and has achieved a 2.95nm LER at 16nm half pitch, and 3.80nm LER at 14nm half pitch at PSI. Performance across various process conditions is also discussed, including process conditions to reduce wiggling and improve LER.


International Conference on Extreme Ultraviolet Lithography 2018 | 2018

State-of-the-art of EUV materials for N5 logic and DRAM applications

Xue Ran; Danilo De Simone; Yannick Vesters; Pieter Venelderen; Ivan Pollentier; Geert Vandenberghe

In the last year, the continuous efforts on the development of extreme ultraviolet lithography (EUVL) has allowed to push the lithographic performance of the EUV photoresists on the ASML NXE:3300 full field exposure tool. However, EUVL materials are deemed as critical to enable and extend the EUV lithography technology in a cost-effective manner. In this work, we present the imec activity on EUV materials. We show the results of the best performing EUV photoresists for dense line-space pattern at 32nm pitch, dense contact holes at 36nm pitch and dense staggered pillars at Px70nm-Py40nm pitch, reporting the most critical patterning challenges for the investigated structures. We discuss manufacturing challenges as nano-failures, line-width roughness, local critical dimension (CD) uniformity, process window limitations and metal cross contamination of metal containing resist (MCR). Further, we discuss the role of the substrate underneath the EUV photoresist and alternative patterning solutions as the tone reversal process (TRP). Furthermore, we discuss novel EUV materials lain on the horizon and fundamental material aspects.


Extreme Ultraviolet (EUV) Lithography IX | 2018

Multi-trigger resist patterning with ASML NXE3300 EUV scanner

Alex P. G. Robinson; Yannick Vesters; Alexandra McClelland; Carmen Popescu; Guy Dawson; John Roth; Wolfgang Theis; Danilo De Simone; Geert Vandenberghe

Irresistible Materials (IM) is developing novel resist systems based on the multi-trigger concept, which incorporates a dose dependent quenching-like behaviour. The Multi Trigger Resist (MTR) is a negative tone crosslinking resist that does not need a post exposure bake (PEB), and during the past years, has been mainly tested using interference lithography at PSI. In this study, we present the results that have been obtained using MTR resists, performing EUV exposures on ASML NXE3300B EUV scanner at IMEC. We present the lithography performance of the MTR1 resist series in two formulations – a high-speed baseline, and a formulation designed to enhance the multi-trigger behaviour. Additionally, we present results for the MTR2 resist series, which has been designed for lower line edge roughness. The high-speed baseline resist (MTR1), showed 18 nm resolution at 20mJ/cm2. The MTR2 resist shows 16nm half pitch lines patterned with a dose of 38mJ/cm2, giving a LER of 3.7 nm. Performance across multiple process conditions are discussed. We performed etch rate measurement and the multi-trigger resist showed etch resistance equivalent or better than standard chemically amplified resist. This could compensate for the lower film thickness required to avoid pattern collapse at pitch 32nm.


Extreme Ultraviolet (EUV) Lithography IX | 2018

Sensitizers in EUV chemically amplified resist: mechanism of sensitivity improvement

Yannick Vesters; Danilo De Simone; Jing Jiang; Hiroki Yamamoto; Takahiro Kozawa; Stefan De Gendt; Geert Vandenberghe

EUV lithography utilizes photons with 91.6 eV energy to ionize resists, generate secondary electrons, and enable electron driven reactions that produce acid in chemically amplified photoresist. Efficiently using the available photons is of key importance. Unlike DUV lithography, where photons are selectively utilized by photoactive compounds, photons at 13.5nm wavelength ionize almost all materials. Nevertheless, specific elements have a significantly higher atomic photon-absorption cross section at 91.6 eV. To increase photon absorption, sensitizer molecules, containing highly absorbing elements, can be added to photoresist formulations. These sensitizers have gained growing attention in recent years, showing significant sensitivity improvement. But there are few experimental evidences that the sensitivity improvement is due to the higher absorption only, as adding metals salts into the resist formulation can induce other mechanisms, like modification of the dissolution rate, potentially affecting patterning performance. In this work, we used different sensitizers in chemically amplified resist. We measured experimentally the absorption of EUV light, the acid yield, the dissolution rate and the patterning performance of the resists. Surprisingly, the absorption of EUV resist was decreased with addition of metal salt sensitizers. Nevertheless, the resist with sensitizer showed a higher acid yield. Sensitizer helps achieving higher PAG conversion to acid, notably due to an increase of the secondary electron generation. Patterning data confirm a significant sensitivity improvement, but at the cost of roughness degradation at high sensitizer loading. This can be explained by the chemical distribution of the sensitizer in the resist combined with a modification of the dissolution contrast, as observed by Dissolution Rate Monitor.


Proceedings of SPIE | 2017

Influence of post exposure bake time on EUV photoresist RLS trade-off

Yannick Vesters; Danilo De Simone; Stefan De Gendt


Journal of Photopolymer Science and Technology | 2018

Multi Trigger Resist for EUV Lithography

Carmen Popescu; Yannick Vesters; Alexandra McClelland; Danilo De Simone; Guy Dawson; John Roth; Wolfgang Theis; Geert Vandenberghe; Alex P. G. Robinson


Journal of Photopolymer Science and Technology | 2018

The Path to Better Understanding Stochastics in EUV Photoresist

Danilo De Simone; Yannick Vesters; Geert Vandenberghe


Archive | 2017

METHOD FOR PERFORMING EXTREME ULTRA VIOLET (EUV) LITHOGRAPHY

Danilo De Simone; Yannick Vesters; Roel Gronheid

Collaboration


Dive into the Yannick Vesters's collaboration.

Top Co-Authors

Avatar

Stefan De Gendt

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Carmen Popescu

University of Birmingham

View shared research outputs
Top Co-Authors

Avatar

Guy Dawson

University of Birmingham

View shared research outputs
Top Co-Authors

Avatar

Wolfgang Theis

University of Birmingham

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Ivan Pollentier

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge