Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Gian F. Lorusso is active.

Publication


Featured researches published by Gian F. Lorusso.


Proceedings of SPIE | 2007

EUV lithography program at IMEC

Anne Marie Goethals; Rik Jonckheere; Gian F. Lorusso; Jan Hermans; Frieda Van Roey; Alan Myers; Manish Chandhok; Insung Kim; Ardavan Niroomand; Fumio Iwamoto; Nikolay Stepanenko; Roel Gronheid; Bart Baudemprez; Kurt G. Ronse

IMEC has started an EUV lithography research program based on ASMLs EUV full field scanner, the Alpha Demo Tool (ADT). Currently, the ADT is in the final phase of installation. The program focuses on three main projects: EUV resists, EUV reticles and assessment of the ADT performance. The intent of this program is to help improve and establish the necessary mask and resist infrastructure. In this paper, the status and the progress of the program is reviewed. In preparation for a resist process for the ADT, interference lithography has been used to track the progress of resist performance. Steady progress in resist development is seen, especially in terms of resolution, as some materials are now able to resolve 25nm HP. In its initial phase, the reticle project has concentrated on working with the mask and blank suppliers to assure timely availability of reticles for the ADT. An overview is given of the other reticle related activities, as well as first results of a defect printability study by simulation. In the ADT assessment project, simulation studies are reported aimed at the development of optical correction for flare and reticle shadowing effects. The impact of flare and shadowing effects are well understood and strategies for flare mitigation and shadowing effect correction are proposed.


Proceedings of SPIE | 2012

Calibration and verification of a stochastic model for EUV resist

Weimin Gao; Alexander Philippou; Ulrich Klostermann; Joachim Siebert; Vicky Philipsen; Eric Hendrickx; Tom Vandeweyer; Gian F. Lorusso

Line width roughness remains a critical issue when moving towards smaller feature sizes in EUV lithography. We present a stochastic resist modeling approach to accurately predict LWR and CD simultaneously. The stochastic model simulates the roughness effects due to the shot noise and secondary electron effects during exposure, and the interaction amongst the finite number of chemical molecules (inhibitor, PAG, quencher) during PEB. The model calibration used the imec baseline EUV resist (Shinetsu SEVR140) with over 250 measured CDs and corresponding line width roughness data. The validation was performed with 1D and 2D patterns. Especially for contact holes the predictability regarding local CD uniformity is discussed. The good match between the simulations and wafer results for SRAM patterns further exhibits the predictive power of the model. The model has been applied to simulate the new ASML NXE: 3100 EUV conditions for both thin and thick absorber EUV masks. The comparison between the simulation results and wafer data are reported.


Proceedings of SPIE | 2008

Imaging performance of the EUV alpha semo tool at IMEC

Gian F. Lorusso; Jan Hermans; Anne-Marie Goethals; Bart Baudemprez; F. Van Roey; Alan Myers; Insung Kim; Byung-Moo Kim; Rik Jonckheere; Ardavan Niroomand; Sjoerd Lok; A. Van Dijk; J.-F. de Marneffe; S. Demuynck; D. Goossens; Kurt G. Ronse

Extreme Ultraviolet Lithography (EUVL) is the leading candidate beyond 32nm half-pitch device manufacturing. Having completed the installation of the ASML EUV full-field scanner, IMEC has a fully-integrated 300mm EUVL process line. Our current focus is on satisfying the specifications to produce real devices in our facilities. This paper reports on the imaging fingerprint of the EUV Alpha Demo Tool (ADT), detailing resolution, imaging, and overlay performance. Particular emphasis is given to small pitch contact holes, which are a critical layer for advanced manufacturing nodes and one of the most likely layers where EUVL may take over from 193nm lithography. Imaging of contact holes, pattern transfer and successful printing of the contact hole level on a 32nm SRAM device is demonstrated. The impact of flare and shadowing on EUV ADT performance is characterized experimentally, enabling the implementation of appropriate mitigation strategies.


Proceedings of SPIE | 2008

EUV pattern shift compensation strategies

Thomas Schmoeller; Thomas Klimpel; I. Kim; Gian F. Lorusso; Alan Myers; Rik Jonckheere; Anne-Marie Goethals; Kurt G. Ronse

EUV lithography is one of the hot candidates for the 22nm node. A well known phenomenon in EUV lithography is the impact of non-telecentricity and the mask topography on printing performance. Due to oblique illumination of the mask, layout, the printed features are shifted and biased on the wafer with respect to their target dimension up to several nanometers. This effect is inherent to EUV imaging systems. In order to maintain CDU, overlay and registration requirements, these effects need to be compensated for as part of the lithographic manufacturing process. Conventional compensation techniques, such as OPC compensation, significantly increase the complexity of the litho process. In this paper we discuss pattern shift, which is induced by mask-side non-telecentricity of the EUV ring field system. In particular, we show how the mask position relative to the focal plane of the projection system impacts pattern shift. It is shown that mask focus shift allows for a compensation of pattern shift, independent on angle of incidence, pattern type, pattern pitch, pattern orientation, and slit position. Thus it is seen that placement error is not an effect related to mask topography (not a shadowing effect) but arises purely from the mask non-telecentricity. A geometric interpretation of this effect is given and shown to be consistent with results of rigorous simulations. A method to simulate the shift of the mask focus position is briefly discussed. The mask focus shift for which the pattern shift vanishes in the aerial wafer image at best focus is determined using rigorous simulations. The amount of mask focus shift to compensate for the pattern shift is found to be approximately 136nm. This mask focus shift is then applied to investigate the through focus and dose behavior of the pattern shift in the resist. It is shown that the pattern shift is a function of wafer focus position and that this is a result of the image tilt in EUV systems. While the pattern shift is fully compensated at one wafer focus position, the shift at other positions is very small. The impact of the mask focus position on process window is investigated.


Proceedings of SPIE | 2009

Stability and imaging of the ASML EUV alpha demo tool

Jan Hermans; Bart Baudemprez; Gian F. Lorusso; Eric Hendrickx; Andre van Dijk; Rik Jonckheere; Anne-Marie Goethals

Extreme Ultra-Violet (EUV) lithography is the leading candidate for semiconductor manufacturing of the 22nm technology node and beyond, due to the very short wavelength of 13.5nm. However, reducing the wavelength adds complexity to the lithographic process. The impact of the EUV specific conditions on lithographic performance needs to be understood, before bringing EUV lithography into pre-production. To provide early learning on EUV, an EUV fullfield scanner, the Alpha Demo Tool (ADT) from ASML was installed at IMEC, using a Numerical Aperture (NA) of 0.25. In this paper we report on different aspects of the ADT: the imaging and overlay performance and both short and long-term stability. For 40nm dense Lines-Spaces (LS), the ADT shows an across field overlapping process window of 270nm Depth Of Focus (DOF) at 10% Exposure Latitude (EL) and a wafer CD Uniformity (CDU) of 3nm 3σ, without any corrections for process or reticle. The wafer CDU is correlated to different factors that are known to influence the CD fingerprint from traditional lithography: slit intensity uniformity, focus plane deviation and reticle CD error. Taking these contributions into account, the CD through slit fingerprint for 40nm LS is simulated with excellent agreement to experimental data. The ADT shows good CD stability over 9 months of operation, both intrafield and across wafer. The projection optics reflectivity has not degraded over 9 months. Measured overlay performance with respect to a dry tool shows |Mean|+3σ below 20nm with more correction potential by applying field-by-field corrections (|Mean|+3σ ≤10nm). For 22nm SRAM application, both contact hole and metal layer were printed in EUV with 10% CD and 15nm overlay control. Below 40nm, the ADT shows good wafer CDU for 30nm dense and isolated lines (on the same wafer) and 38nm dense Contact Holes (CH). First 28nm dense line CDU data are achieved. The results indicate that the ADT can be used effectively for EUV process development before installation of the pre-production tool, the ASML NXE Gen. 1 at IMEC.


Proceedings of SPIE | 2008

Dependence of EUV Mask Printing Performance on Blank Architecture

Rik Jonckheere; Yoonsuk Hyun; Fumio Iwamoto; Bart Baudemprez; Jan Hermans; Gian F. Lorusso; Ivan Pollentier; Anne-Marie Goethals; Kurt G. Ronse

EUV lithography is the leading candidate for sub-32nm half-pitch device manufacturing. This paper deals with the investigation of the impact of the mask blank architecture on the wafer print by EUV lithography. Presently the material stack on the mask is not fixed and different suppliers offer a range of variation. The purpose of the present paper is threefold, as detailed hereafter. First it is shown that there are possibilities to make EUV masks less prone to reflectivity loss by carbon contamination. An estimate is given for the required limitations on mask contamination and fabrication tolerance to keep the imaging impact below acceptable levels. These data can be used as preliminary error budgets for the individual and combined capping layer deterioration phenomena. Further-on, printing results on the Alpha Demo Tool (ADT) are reported, obtained with different reticles with identical layout produced on blanks with different mask stacks. In preparation for this experimental work simulations have been undertaken. The experimental results show good agreement in printing performance between the reticles tested. Finally, our work clearly shows the opportunity to reduce the absorber thickness without noticeable loss of contrast and with the big advantage of shadowing effect reduction.


Proceedings of SPIE | 2011

Deep Ultraviolet Out-of-Band Contribution in Extreme Ultraviolet Lithography: Predictions and Experiments

Gian F. Lorusso; Natalia Davydova; Mark Eurlings; Cemil Kaya; Yue Peng; Kees Feenstra; Theodore H. Fedynyshyn; Oliver Natt; Peter Huber; Christoph Zaczek; Stuart Young; Paul Graeupner; Eric Hendrickx

Extreme ultraviolet lithography (EUVL) sources emit a broad spectrum of wavelengths ranging from EUV to DUV and beyond. If the deep ultraviolet (DUV) reaches the wafer it will affect imaging performance by exposing the photoresist. Hence it is critical to determine the amount of DUV out of band (OoB) present in a EUVL tool, as well as its effect on the printed features on the wafer. In this study we investigate the effect of DUV OoB in EUVL. A model is developed in order to be able to quantify the DUV/EUV ratio at wafer level and all the required input parameters are estimated in the range from 140 to 400nm, as well as for the EUV at 13.5nm. The transmission of the optical system was estimated based on the optical design and reflectivity measurements of the mirrors. The mask reflectivity for multilayer (ML) and absorber was measured at wavelengths down to 140 nm and for EUV. The sensitivity to EUV and DUV for a variety of resist platforms was measured at 13.5 nm, 157 nm, 193 nm, 248 nm and 365 nm. The source spectra were also measured. By using these inputs, it was possible to estimate the DUV/EUV ratio for two different ASML tool configurations, the EUV Alpha Demo Tool and the NXE:3100. Both NXE:3100 with LPP (laser produced plasma) source and Alpha Demo Tool with DPP (discharge produced plasma) source show less than 1% DUV/EUV ratio in resist. The modeling predictions were compared to experimental results. A methodology is introduced to measure the DUV/EUV ratio at wafer level in situ. With this aim, an aluminum coated mask was fabricated and its reflectivity was qualified in both EUV and DUV wavelength range. By comparing the dose to clear exposures of a reflective blank and of the aluminum mask, it is possible to quantify the DUV/EUV ratio. The experimental results are in order of magnitude agreement with modeling predictions. The proposed experimental approach can be used to benchmark the DUV sensitivity of different resist platforms and may be used to monitor DUV OoB.


Proceedings of SPIE | 2010

Full chip correction of EUV design

Gian F. Lorusso; Eric Hendrickx; Germain Fenger; Ardavan Niroomand

Extreme Ultraviolet Lithography (EUVL) is currently the most promising technology for advanced manufacturing nodes: it recently demonstrated the feasibility of 32nm and 22nm node devices, and pre-production tools are expected to be delivered by 2010. Generally speaking, EUVL is less in need of Optical Proximity Correction (OPC) as compared to 193nm lithography, and the device feasibility studies were indeed carried out with limited or no correction. However, a rigorous optical correction strategy and an appropriate Electronic Design Automation (EDA) infrastructure is critical to face the challenges of the 22nm node and beyond, and EUV-specific effects such as flare and shadowing have to be fully integrated in the correction flow and properly tested. This study aims to assess in detail the quality of a full chip optical correction for a EUV design, as well to discuss the available approaches to compensate for EUV-specific effects. Extensive data sets have been collected on the ASML EUV Alpha-Demo Tool (ADT) using the latest IMEC baseline resist Shin-Etsu SEVR59. In total about 1300 CD measurements at wafer level and 700 at mask level were used as input for model calibration and validation. The smallest feature size in the data set was 32nm. Both one-dimensional and two-dimensional structures through CD and pitch were measured. The mask used in this calibration exercise allowed the authors to modulate flare by varying tiling densities within the range expected in the final design. The OPC model was fitted and validated against the CD data collected on the EUV ADT. The shadowing effect was modeled by means of a single bias correction throughout the design. Horizontal and vertical features of different type through pitch and CD were used to calibrate the shadowing correction, and the extent of the validity of the single bias approach is discussed. In addition, the quality of the generated full-chip flare maps has been tested against experimental results, and the model has been validated in the full flare range available within the mask. The model calibration yielded an RMS of about 1nm, and a EUV mask fully corrected for OPC, flare and shadowing was finally fabricated and qualified.


Proceedings of SPIE | 2013

Deep ultraviolet out-of-band characterization of EUVL scanners and resists

Gian F. Lorusso; Tasaku Matsumiya; Jun Iwashita; Taku Hirayama; Eric Hendrickx

As Extreme Ultraviolet Lithography (EUVL) gets closer to production, an increasing interest is devoted to Deep Ultraviolet Out-of-Band (DUV OoB). In fact, EUV sources are known to emit a broad spectrum of wavelengths, among which DUV could potentially contribute to the exposure and degrade imaging performance. In this paper, the DUV/EUV ratio in pre-production (ASML NXE:3100) and alpha (ASML ADT) EUVL scanners is investigated. The OoB is quantified using a previously proposed methodology [1] based on the use of an aluminum-coated mask capable to provide quantitative in situ information on DUV/EUV ratio without disrupting the tool. The OoB sensitivity of an extensive set of resists is estimated in order to properly guide material development. The impact of OoB on imaging and on Intra-Field Critical Dimension Uniformity (IF CDU) is quantified using resists with large differences in OoB sensitivity. In addition, the impact of mask design on OoB is also investigated. The results indicated that it is in fact possible to reduce the OoB sensitivity of a resist (from 2.5 down to 0.3%) without compromising imaging performance and that tool OoB qualification and monitoring are critical in a production environment.


SPIE Optical Systems Design | 2011

Predictive modeling of EUV-lithography: the role of mask, optics, and photoresist effects

Andreas Erdmann; Peter Evanschitzky; Feng Shao; Tim Fühner; Gian F. Lorusso; Eric Hendrickx; Mieke Goethals; Rik Jonckheere; Tristan Bret; Thorsten Hofmann

Extreme ultraviolet (EUV) - lithography at a wavelength around 13.5 nm is considered as the most promising successor of optical projection lithography. This paper reviews simulation models for EUV lithography. Resist model parameters are calibrated with experimental data. The models are applied for the investigation of the impact of mask multilayer defects on the lithographic process.

Collaboration


Dive into the Gian F. Lorusso's collaboration.

Researchain Logo
Decentralizing Knowledge