Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yen-Huei Chen is active.

Publication


Featured researches published by Yen-Huei Chen.


IEEE Journal of Solid-state Circuits | 2011

A Large

Jui-Jen Wu; Yen-Huei Chen; Meng-Fan Chang; Po-Wei Chou; Chien-Yuan Chen; Hung-jen Liao; Ming-Bin Chen; Yuan-Hua Chu; Wen-Chin Wu; Hiroyuki Yamauchi

Nanometer SRAM cannot achieve lower VDDmin due to read-disturb, half-select disturb and write failure. This paper demonstrates quantitative performance advantages of a zigzag 8T-SRAM (Z8T) cell over the decoupled single-ended sensing 8T-SRAM (DS8T) with write-back schemes, which was previously recognized as the most area-efficient cell under large σVTH/VDD conditions. Since Z8T uses only 1T for each decoupled read-port, faster 2T differential sensing (D2S) can be implemented within the same area as the single-ended DS8T. Thanks to D2S, Z8T cell enables much faster R/W speed at VDDmin than DS8T. For the same VDDmin/speed, Z8T reduces the cell area by 15%. The Z8T 32 Kb macro is 14% smaller area and 53% faster than DS8T cells. Three macros were fabricated using foundry provided 65 nm low-power and 90 nm generic processes. The measured VDDmin for a 65 nm 256-row 32 Kb and a 32-row 4 Kb macro are 430 mV and 250 mV respectively. The measured VDDmin for a 90 nm 256-row 64 Kb macro is 230 mV.


symposium on cloud computing | 2007

\sigma

D.P. Wang; Hung-jen Liao; Hiroyuki Yamauchi; Yen-Huei Chen; Y.L. Lin; S.H. Lin; D. C. Liu; H.C. Chang; Wei Hwang

This paper presents circuit techniques to improve write and read capability for dual-port SRAM design fabricated in a 45nm low-power process. The write capability is enhanced by negative write biasing without any reduction in the cell current for the other port. The result shows 12% better improvement with just 1.9% area overhead. This technique has been verified successfully on 65nm and 45nm SRAM chip and improved 120mV lower at 95% yield of minimum operation voltage than a conventional one. The read capability is enhanced by cell current boosting and word line voltage lowering schemes. The SNM is also enhanced significantly. The target is to work below 0.8V with the worst process corner variation.


international solid-state circuits conference | 2013

V

Jonathan Chang; Yen-Huei Chen; Hank Cheng; Wei-Min Chan; Hung-jen Liao; Quincy Li; Stanley Chang; Sreedhar Natarajan; Robin Lee; Ping-Wei Wang; Shyue-Shyh Lin; Chung-Cheng Wu; Kuan-Lun Cheng; Min Cao; George H. Chang

A 20nm high-κ metal-gate planar CMOS technology is optimized and developed for SoC platform applications that span a wide range of power and performance. This technology is based on a 20nm SoC process featuring high-κ metal gate and strain techniques for core logic transistors with low-power/high-performance and I/O transistors. A high-density and a high-performance embedded memory bit cell each has an area <;0.1μm2. This technology is targeted to high-density low-cost low-power high-performance applications, such as mobile applications with video. Increased threshold-voltage variation of scaled transistors reduces the static noise margin (SNM) and write margin (WM) of the SRAM bit cell. The effect is more predominant for the high-density SRAMs due to small device sizes and large memory capacity requirement for modern SoC design. Therefore, chip performance and minimum operating voltage (VDDmin) are both degraded by the embedded SRAM. Reducing the pass-gate strength or BL capacitance with slow WL rise are effective techniques to improve the SRAM cell stability [1,2]. Underdriving the pass-gate reduces the bit-cell read current but also reduces WM, resulting in SRAM performance degradation. Physically shortening the BL limits the SRAM array configuration. Lowering the SRAM cell power supply improves the WM [3,4], but is less effective than the negative-bitline-based write-assist technique. Compared to conventional techniques, this work presents a partially suppressed wordline (PSWL) scheme for read assist and a bitline-length-tracked negative-bitline-boosting (BT-NBL) scheme for write assist without significantly degrading SRAM performance. With the read/write assist circuitry, the overall VDDmin improvement is over 200mV in a 112Mb SRAM test-chip.


symposium on vlsi circuits | 2010

_{\rm TH}

Jui-Jen Wu; Yen-Huei Chen; Meng-Fan Chang; Po-Wei Chou; Chien-Yuan Chen; Hung-jen Liao; Ming-Bin Chen; Yuan-Hua Chu; Wen-Chin Wu; Hiroyuki Yamauchi

This paper demonstrates for the first time quantitative performance advantages of a zigzag 8T-SRAM (Z8T) cell over the decoupled single-ended sensing 8T-SRAM (DS8T) with write-back schemes, which was previously recognized as the most area-efficient cell under large σVTH/VDD conditions. Since Z8T uses only 1T for each decoupled read-port, faster 2T differential sensing (D2S) can be implemented within the same area as the single-ended DS8T. Thanks to D2S, Z8T cell enables much faster R/W speed at VDDmin than DS8T. For the same VDDmin/speed, Z8T save the cell area by 15%. A fabricated 256-row 32Kb Z8T SRAM, using a 65nm low-power process, is 14% smaller area and 53% faster than DS8T SRAM, and is 430mV lower VDDmin than 6T-SRAM. The 32-row 4Kb Z8T macro achieves 250mV VDDmin.


symposium on vlsi technology | 2012

/VDD Tolerant Zigzag 8T SRAM With Area-Efficient Decoupled Differential Sensing and Fast Write-Back Scheme

H. B. Chang; H. Y. Chen; Po Chen Kuo; Chao-Hsin Chien; E.B. Liao; Tsung-Shu Lin; T. S. Wei; Yen-Liang Lin; Yen-Huei Chen; Kuo-Nan Yang; H.A. Teng; Wu-Chin Tsai; Yung-Chang Tseng; S.Y. Chen; C.C. Hsieh; M. F. Chen; Y. H. Liu; Tsang-Jiuh Wu; Shang-Yun Hou; Wen-Chih Chiou; S.P. Jeng; Chen-Hua Yu

The density of through-silicon-via (TSV) on CMOS chip is limited by TSV dimension and keep-out zone (KOZ). A high aspect ratio Cu TSV process, 2 μm × 30 μm, is demonstrated on 28nm CMOS baseline with good electrical performance and low cost. By implementing 2 μm × 30 μm TSV, the Si stress in the vicinity of TSV caused by thermal expansion is able to be relieved. It is, therefore, shown that the relaxation of TSV stress is correlated with minimized keep-out zone (KOZ). The achievement of excellent performance of 3D-IC yield and high aspect ratio TSV embedded device characteristics are key milestones in the promising manufacturability of 3D-IC by silicon foundry technology.


symposium on vlsi circuits | 2008

A 45nm dual-port SRAM with write and read capability enhancement at low voltage

Yen-Huei Chen; Wei-Min Chan; Shao-Yu Chou; Hung-jen Liao; Hsien-Yu Pan; Jui-Jen Wu; C.H. Lee; Shu-Meng Yang; Y.C. Liu; Hiroyuki Yamauchi

A 0.6 V 45 nm dual-rail SRAM design utilizing an adaptive voltage regulator targeting for an SRAM compiler application is proposed for the first time. The proposed work describes an adaptive mechanism to generate a cell-Vdd (CVDD), which tracks a certain voltage offset with respect to logic-Vdd (VDD), and provides a mean to lower the VDD down to 0.6 V. To relax IR-drop constraints of CVDD power routings in P&R flow, shifting bite-line (BL) pre-charge power supply from CVDD to VDD is adopted in this work. This also avoids the congestion of the VDD and CVDD power mesh. A 45 nm test chip has demonstrated that these concepts successfully can push the VDD_min down to 0.6 V, which is > 250 mV lower than the conventional single-rail SRAMpsilas.


IEEE Journal of Solid-state Circuits | 2012

A 20nm 112Mb SRAM in High-к metal-gate with assist circuitry for low-leakage and low-V MIN applications

Yen-Huei Chen; Shao-Yu Chou; Quincy Li; Wei-Min Chan; Dar Sun; Hung-jen Liao; Ping Wang; Meng-Fan Chang; Hiroyuki Yamauchi

This paper proposes schemes for the direct measurement of bit-line (BL) voltage swing, sense amplifier (SA) offset voltage, and word-line (WL) pulse width, demonstrated in a 40 nm CMOS 32 kb fully functional SRAM macro with <;2% area penalty. This is the first such scheme to enable the optimal tuning of WL-pulse (WLP) width according to on-site measurement results for BL voltage swing, dynamic read stability, and write margin, all of which depend on WLP width. It also eliminates the need for additional margins related to BL voltage swing, which has conventionally been required to ensure adequate tolerances against simulation errors and inaccurate estimation of SA offset voltage. This opens up possibilities for a more aggressive approach to deal with WLP width instead of only ensuring the target BL voltage swing.


IEEE Journal of Solid-state Circuits | 2013

A large σV TH /VDD tolerant zigzag 8T SRAM with area-efficient decoupled differential sensing and fast write-back scheme

Meng-Fan Chang; Chih-Sheng Lin; Wei-Cheng Wu; Ming-Pin Chen; Yen-Huei Chen; Zhe-Hui Lin; Shyh-Shyuan Sheu; Tzu-Kun Ku; Cha-Hsin Lin; Hiroyuki Yamauchi

TSV-based 3D die-stacking technology enables the reuse of pre-designed, pre-tested logic dies stacked with multiple memory layers (NSTACK) in various configurations to form a universal-memory-capacity platform (UMCP). However, conventional 3D memories suffer speed, power and yield overheads due to the large parasitic load of TSV and cross-layer PVT variations when implemented in large NSTACK with wide IO, especially using via-last TSVs. This work proposes a semi-master-slave (SMS) memory structure with self-timed differential-TSV signal transfer (STDT) scheme to improve the speed, power, and yield of 3D memory devices, while providing high scalability in NSTACK for 3D-UMCP. The SMS scheme achieves the following: 1) a constant-load logic-SRAM interface across various NSTACK; 2) high tolerance for variations in cross-layer PVT, and 3) at-speed pre-bonding KGD sorting. The STDT scheme employs a TSV-load tracking scheme to achieve small TSV voltage swing for suppressing power and speed overheads of cross-layer TSV signal communication resulting from large TSV parasitic loads, particularly in UMCP designs with scalable NSTACK and wide-IO. To verify the viability of the proposed structure and scheme, we developed a 2-layer 32 kb 3D-SRAM testchip with layer-scalable test-modes using a via-last TSV process with die-to-die bonding. This testchip confirmed the functionality and demonstrated superior scalability in NSTACK with small speed overheads.


symposium on vlsi technology | 2012

High-aspect ratio through silicon via (TSV) technology

Wen-Chih Chiou; Kuo-Nan Yang; J.L. Yeh; S.H. Wang; Y.H. Liou; Tsang-Jiuh Wu; J.C. Lin; C.L. Huang; S.W. Lu; C.C. Hsieh; H.A. Teng; C.C. Chiu; H. B. Chang; T. S. Wei; Yen-Liang Lin; Yen-Huei Chen; H.J. Tu; H.D. Ko; T.H. Yu; J.P. Hung; P.H. Tsai; D.C. Yeh; W.C. Wu; An-Jhih Su; S.L. Chiu; Shang-Yun Hou; D.Y. Shih; Kim Hong Chen; S.P. Jeng; Chen-Hua Yu

To achieve ultra small form factor package solution, an ultra-thin (50μm) Si interposer utilizing through-silicon-via (TSV) technology has been developed. Challenges associated with handling thin wafer and maintaining package co-planarity have been overcome to stack thin dies (200 μm) on ultra-thin interposer. Improved electrical performance and the advantages of this innovative thin interposer are highlighted in this paper. Warpage behavior is investigated with simulation and experiments to ensure reliability and robustness of the Si stack. Reduction in package thickness is realized to achieve high functionality, small form factor, better electrical performance and robust reliability by stacking thin dies on ultra-thin interposer.


symposium on vlsi circuits | 2016

A 0.6V 45nm adaptive dual-rail SRAM compiler circuit design for lower VDD_min VLSIs

Yen-Huei Chen; Kao-Cheng Lin; Ching-Wei Wu; Wei-Min Chan; Jhon-Jhy Liaw; Hung-jen Liao; Jonathan Chang

A total solution for 8T dual-port (DP) SRAM to improve its operating voltage range (VMIN/VMAX) is proposed. Partial suppressed word-line (PSWL) technique improves the static noise margin (SNM) when both ports (A, B ports) access at the same time. Dummy read recovery (DRR) and negative bit-line (NBL) techniques are introduced to eliminate the dummy read induced write recovery failure and write contention failure, respectively. The silicon results show that the VDD operation window can be improved from 220mV to 570mV in 16nm FinFET technology.

Collaboration


Dive into the Yen-Huei Chen's collaboration.

Researchain Logo
Decentralizing Knowledge