Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yuichi Terashita is active.

Publication


Featured researches published by Yuichi Terashita.


Journal of Micro-nanolithography Mems and Moems | 2016

Sensitivity enhancement of chemically amplified resists and performance study using extreme ultraviolet interference lithography

Elizabeth Buitrago; Seiji Nagahara; Oktay Yildirim; Hisashi Nakagawa; Seiichi Tagawa; Marieke Meeuwissen; Tomoki Nagai; Takehiko Naruoka; Coen Verspaget; Rik Hoefnagels; Gijsbert Rispens; Gosuke Shiraishi; Yuichi Terashita; Yukie Minekawa; Kosuke Yoshihara; Akihiro Oshima; Michaela Vockenhuber; Yasin Ekinci

Abstract. Extreme ultraviolet lithography (EUVL, λ=13.5  nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high-power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity [S or best energy (BE)], and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (line width roughness, resolution and sensitivity trade-off) among these parameters for chemically amplified resists (CARs). We present early proof-of-principle results for a multiexposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a “Photosensitized Chemically Amplified Resist™” (PSCAR™). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV-flood exposure (λ=365  nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR, and EL high-performance requirements with the aim of resolving line space (L/S) features for the 7- and 5-nm logic node [16- and 13-nm half-pitch (HP), respectively] for HVM. Several CARs were additionally found to be well resolved down to 12- and 11-nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and below 16-nm HP resolution, demonstrating the need for alternative resist solutions at 13-nm resolution and below. EUV interference lithography (IL) has provided and continues to provide a simple yet powerful platform for academic and industrial research, enabling the characterization and development of resist materials before commercial EUV exposure tools become available. Our experiments have been performed at the EUV-IL set-up in the Swiss Light Source (SLS) synchrotron facility located at the Paul Scherrer Institute (PSI).


Proceedings of SPIE | 2016

Challenge toward breakage of RLS trade-off for EUV lithography by Photosensitized Chemically Amplified Resist (PSCAR) with flood exposure

Seiji Nagahara; Michael A. Carcasi; Hisashi Nakagawa; Elizabeth Buitrago; Oktay Yildirim; Gosuke Shiraishi; Yuichi Terashita; Yukie Minekawa; Kosuke Yoshihara; Masaru Tomono; Hironori Mizoguchi; Joel Estrella; Tomoki Nagai; Takehiko Naruoka; Satoshi Dei; Masafumi Hori; Akihiro Oshima; Michaela Vockenhuber; Yasin Ekinci; Marieke Meeuwissen; Coen Verspaget; Rik Hoefnagels; Gijsbert Rispens; R. Maas; Hideo Nakashima; Seiichi Tagawa

This paper proposes a promising approach to break the resolution (R), line-edge-roughness (LER), and sensitivity (S) trade-off (RLS trade-off) relationships that limit the ultimate lithographic performance of standard chemically amplified resists (CAR). This is accomplished in a process that uses a Photosensitized Chemically Amplified Resist (PSCAR) in combination with a flood-exposure in an in-line track connected to a pattern exposure tool. PSCAR is a modified CAR which contains a photosensitizer precursor (PP) in addition to other standard CAR components such as a protected polymer, a photo acid generator (PAG) and a quencher. In this paper, the PSCAR concept and the required conditions in resist formulation are carefully explained. In the PSCAR process, the sensitivity improvement is accomplished by PAG decomposition to selectively generate more acid at the pattern exposed areas during the flood exposure. The selective photosensitization happens through the excitation of the photosensitizer (PS) generated by the deprotection of the PP at the pattern exposed areas. A higher resist chemical gradient which leads to an improved resolution and lower LER values is also predicted using the PSCAR simulator. In the PSCAR process, the improved chemical gradient can be realized by dual acid quenching steps with the help of increased quencher concentration. Acid quenching first happens simultaneously with acid catalytic PP to PS reactions. As a result, a sharpened PS latent image is created in the PSCAR. This image is subsequently excited by the flood exposure creating additional acid products at the pattern exposed areas only. Much the same as in the standard CAR system, unnecessary acid present in the non-pattern exposed areas can be neutralized by the remaining quencher to therefore produce sharper acid latent images. EUV exposure results down to 15 nm half pitch (HP) line/space (L/S) patterns using a PSCAR resist indicate that the use of PSCAR has the potential to improve the sensitivity of the system while simultaneously improving the line-width-roughness (LWR) with added quencher and flood exposure doses. In addition, improved across-wafer critical dimension uniformity (CDU) is realized by the use of a PSCAR in combination with a flood exposure using pre α UV exposure module.


Proceedings of SPIE | 2016

Novel high sensitivity EUV photoresist for sub-7nm node

Tomoki Nagai; Hisashi Nakagawa; Takehiko Naruoka; Seiichi Tagawa; Akihiro Oshima; Seiji Nagahara; Gosuke Shiraishi; Kosuke Yoshihara; Yuichi Terashita; Yukie Minekawa; Elizabeth Buitrago; Yasin Ekinci; Oktay Yildirim; Marieke Meeuwissen; Rik Hoefnagels; Gijsbert Rispens; Coen Verspaget; R. Maas

Extreme ultraviolet lithography (EUVL) has been recognized as the most promising candidate for the manufacture of semiconductor devices for the 7 nm node and beyond. A key point in the successful introduction of EUV lithography in high volume manufacture (HVM) is the effective EUV dose utilization while simultaneously realizing ultra-high resolution and low line edge roughness (LER). Here we show EUV resist sensitivity improvement with the use of a photosensitized chemically amplified resist PSCARTM system. The evaluation of this new chemically amplified resist (CAR) as performed using EUV interference lithography (EUV-IL) is described and the fundamentals are discussed.


Proceedings of SPIE | 2016

Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography

Elizabeth Buitrago; Seiji Nagahara; Oktay Yildirim; Hisashi Nakagawa; Seiichi Tagawa; Marieke Meeuwissen; Tomoki Nagai; Takehiko Naruoka; Coen Verspaget; Rik Hoefnagels; Gijsbert Rispens; Gosuke Shiraishi; Yuichi Terashita; Yukie Minekawa; Kosuke Yoshihara; Akihiro Oshima; Michaela Vockenhuber; Yasin Ekinci

Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity (S or best energy BE) and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (LRS trade-off) among these parameters for chemically amplified resists (CARs). Here we present early proof-of-principle results for a multi-exposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a Photosensitized Chemically Amplified Resist (PSCAR). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV flood exposure (λ = 365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR and EL high performance requirements with the aim of resolving line space (L/S) features for the 7 and 5 nm logic node (16 nm and 13 nm half-pitch HP, respectively) for HVM. Several CARs were additionally found to be well resolved down to 12 nm and 11 nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and below 16 nm HP resolution, demonstrating the need for alternative resist solutions at 13 nm resolution and below. EUV interference lithography (IL) has provided and continues to provide a simple yet powerful platform for academic and industrial research enabling the characterization and development of new resist materials before commercial EUV exposure tools become available. Our experiments have been performed at the EUV-IL set-up in the Swiss Light Source (SLS) synchrotron facility located at the Paul Scherrer Institute (PSI).


Advances in Resist Technology and Processing XXI | 2004

Critical dimension control in 90nm - 65nm node

Yuichi Terashita; Mamoko Shizukuishi; Hideo Shite; Hideharu Kyoda; Kazuhiko Oshima; Kosuke Yoshihara

193nm(ArF) photoresist used for 90nm to 65nm nodes has shown many significant characteristics. Especially, higher sensitivity to PEB (Post Exposure Bake) temperatures compared to 248nm(KrF) photoresist is critical in CD control. We classified CD budget of each process in coater/developer regarding 193nm photoresist to examine each factor’s influence. As a result, it’s found that PEB makes up about 70% of the track-related CD factors. This fact indicates the importance of PEB in 193nm process. We made improvements to inter and intra wafer for enhancing CD control in the 193nm process. Controlling chamber temperature in PEB process made 68.9% of improvement in CD variation of inter wafer. As for the intra wafer, the CD variation was improved 28.6% by modifying thermal history that has a great influence on PEB process. However, we assume that there are cases that don’t apply this budget since there are influences of the warped wafer and of flare in the exposure tool. In these cases, using a divided heater-type hotplate that we have been working on the development enables to make adjustment and results in 38.3% of improvement in intra wafer.


Archive | 2002

Coating film forming method and coating film forming apparatus

Kousuke Yoshihara; Yuichi Terashita


Archive | 2002

Method and device for coating film forming

Shinya Hori; Yuichi Terashita; Kosuke Yoshihara; 孝介 吉原; 堀 真也; 裕一 寺下


Archive | 2001

Processing liquid supply device and processing liquid supply method

寺下 裕一; Yuichi Terashita; 裕一 寺下; 吉原 孝介; Kosuke Yoshihara; 孝介 吉原; 康治 ▲高▼▲柳▼; Yasuharu Takayanagi; 智伸 古庄; Tomonobu Kosho; 卓志 佐々; Takushi Sasa


Archive | 2016

Development method, development apparatus and storage medium

博史 竹口; Hiroshi Takeguchi; 寺下 裕一; Yuichi Terashita; 裕一 寺下; 剛 下青木; Takeshi Shimoaoki; 吉原 孝介; Kosuke Yoshihara; 孝介 吉原


Journal of Photopolymer Science and Technology | 2016

Novel High Sensitivity EUV Photoresist for Sub-7 nm Node

Tomoki Nagai; Hisashi Nakagawa; Takehiko Naruoka; Satoshi Dei; Seiichi Tagawa; Akihiro Oshima; Seiji Nagahara; Gosuke Shiraishi; Kosuke Yoshihara; Yuichi Terashita; Yukie Minekawa; Elizabeth Buitrago; Yasin Ekinci; Oktay Yildirim; Marieke Meeuwissen; Rik Hoefnagels; Gijsbert Rispens; Coen Verspaget; R. Maas

Collaboration


Dive into the Yuichi Terashita's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Yasin Ekinci

Paul Scherrer Institute

View shared research outputs
Researchain Logo
Decentralizing Knowledge