Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yuuki Ishii is active.

Publication


Featured researches published by Yuuki Ishii.


Optical Microlithography XVII | 2004

Feasibility of immersion lithography

Soichi Owa; Hiroyuki Nagasaka; Yuuki Ishii; Osamu Hirakawa; Taro Yamamoto

Feasibility of ArF (193nm) immersion lithography is reported based on our recent experimental and theoretical studies. Local fill method of water, edge shot, high NA projection optics, focus sensing, water supply, polarization effect, polarized illumination and resist are investigated. Although we recognize there are some remaining engineering risks, we have judged that ArF immersion lithography is basically feasible and is a very promising method that can reach the half pitch required for the 45nm node. On this basis we have planned our development schedule of immersion exposure tools.


Proceedings of SPIE | 2008

Recent performance results of Nikon immersion lithography tools

Andrew J. Hazelton; Kenichi Shiraishi; Shinji Wakamoto; Yuuki Ishii; Masahiko Okumura; Nobutaka Magome; Hiroyuki Suzuki

Nikons production immersion scanners, including the NSR-S609B and the NSR-S610C, have now been in the field for over 2 years. With these tools, 55 nm NAND Flash processes became the first immersion production chips in the world, and 45 nm NAND Flash process development and early production has begun. Several logic processes have also been developed on these tools. This paper discusses the technical features of Nikons immersion tools, and their results in production.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Basic studies of overlay performance on immersion lithography tool

Kenichi Shiraishi; Tomoharu Fujiwara; Hirokazu Tanizaki; Yuuki Ishii; Takuya Kono; Shinichiro Nakagawa; Tatsuhiko Higashiki

Immersion lithography with ArF light and Ultra Pure Water (UPW) is the most promising technology for semiconductor manufacturing with 65 nm hp design and below. Since Nikon completed the first full-field immersion scanner, the Engineering Evaluation Tool (EET, NA=0.85) at the end of 2004, Toshiba and Nikon have investigated overlay accuracy with the EET which uses the local fill nozzle. EET successfully demonstrated immersion tools are comparable in single machine overlay accuracy to dry tools, and immersion-dry matching has the same level overlay matching accuracy as dry-dry matching. EET also made it clear that overlay accuracy is independent of scanning speed, and both solvent-soluble topcoats, as well as developer-soluble topcoats can be used without degradation of overlay accuracy. We investigated the impact of the thermal environment on overlay accuracy also, assuming that a key technology of overlay with immersion tools must achieve thermal stabilities similar to dry tools. It was found that the temperature of supply water and loading wafer are stable enough to keep the overlay accuracy good. As for evaporation heat, water droplets on the backside of the wafer lead to overlay degradation. We have decided to equip the wafer holder of S609B, the first immersion production model, with an advanced watertight structure.


Proceedings of SPIE | 2009

Fine Trench Patterns with Double Patterning and Trench shrink Technology

Satoru Shimura; Masato Kushibiki; Tetsu Kawasaki; Ryo Tanaka; Akira Tokui; Yuuki Ishii

As part of the trend toward finer semiconductor design rules, studies have begun in the field of semiconductor lithography technology toward the 32nm-node and 22nm-node generations. The development of various types of fine-processing technologies is underway and particular progress is being made in the development of high numerical aperture (NA) technology and extreme ultraviolet (EUV) lithography for 32nm processes and beyond. At present, however, many technical issues are still being reported. One problem of special concern relates to the forming of fine, high-density trench patterns. Here, the required process margin is difficult to achieve by existing fine-processing techniques compared to lines and space patterns, and it is predicted that this problem could be a factor in lower yields caused by pattern defects. To solve this problem, studies have begun on double patterning technology and various shrink technologies. To place the joint use of these technologies on the road toward genuine mass-production applications, it is becoming increasingly important that comprehensive efforts be made to improving the basic performance of exposure-equipment and single lithography processes, to improving the alignment accuracy in double patterning, and to extract problem points in critical-dimension (CD) and defect control toward an exposure-equipment/ coater/developer cluster tool. In the face of these technical issues, NIKON Corporation and Tokyo Electron Ltd.(TEL) have joined forces to study technology for forming fine, high-density trench patterns and have successfully developed a fine, high-density trench-pattern formation process through the joint use of double patterning technology and original Chemical Vapor Deposition (CVD)-shrink technology. This paper reports on the results of a comprehensive process evaluation of double patterning technology using lithography clusters, CVD tools and etching tools.


Proceedings of SPIE | 2007

Latest results from the hyper-NA immersion scanners S609B and S610C

Jun Ishikawa; Tomoharu Fujiwara; Kenichi Shiraishi; Yuuki Ishii; Masahiro Nei

Nikon released the worlds first hyper-NA immersion scanner, the NSR-S609B with NA 1.07 at the beginning of 2006. With the highest NA lens using all-refractive optics, a flexible illumination system, and POLANOTM polarized illumination, the NSR-S609B is capable of manufacturing devices with better than 55 nm resolution. In addition, Nikon has announced the release of the NSR-S610C. With the worlds highest NA lens (NA=1.30), the S610C can comfortably achieve 45 nm critical layer volume production with k1=0.30. Nikons proprietary catadipotric lens design for the S610C provides the lowest flare and eliminates lens heating, resulting in stable imaging. Because the S609B and the S610C are built on the same platform, a number of advantages can be realized. First, both the S609B and the S610C utilize a tandem stage optimized for immersion lithography. The tandem stage consists of separate exposure and calibration stages. This allows for continuous flow of immersion water, and for calibration of the exposure tool during wafer exchange. As a result, throughput of greater than 130 wph is achieved, evaporative cooling of the stage during wafer exchange is prevented, and focus drift, baseline changes, and other issues with tool stability are eliminated. In this way, the tandem stage can achieve productivity and accuracy at the same time. In addition to the calibration functions described above, the Integrated Projection Optics Tester (iPot) mounted on the calibration stage can manage the long term performance of the projection optics. By measuring the wavefront aberration, the polarization quality of POLANOTM, and the pupil fill, iPot supports the optimization of the imaging performance. Nikons polarized illumination system POLANOTM provides improved contrast with no loss of illumination power. This provides increased process margin for 45 nm volume production using immersion lithography. Finally, Nikons proprietary local fill nozzle installed on the S609B and the S610C has been shown to eliminate immersion defects from bubbles, watermarks, and particles. Various data showing that the NSR-S609B meets requirements for 55nm and below production and the status of the development of Nikons newest immersion scanner NSR-S610C are introduced here.


26th Annual International Symposium on Microlithography | 2001

Introduction of new techniques for matching overlay enhancement

Takahisa Kikuchi; Yuuki Ishii; Noriaki Tokuda

This paper discusses two new techniques that have been developed to improve overlay matching accuracy over multiple wafer scanners: Super Distortion Matching system (SDM) and Grid Compensation for Matching (GCM), and actual data from experiments performed using the techniques. Overlay matching errors can be divided into the two basic categories, intra-shot error and inter-shot error, which can be improved by SDM and GCM, respectively.


20th Annual BACUS Symposium on Photomask Technology | 2001

Management of pattern generation system based on i-line stepper

Suigen Kyoh; Satoshi Tanaka; Soichi Inoue; Iwao Higashikawa; Ichiro Mori; Katsuya Okumura; Nobuyuki Irie; Koji Muramatsu; Yuuki Ishii; Nobutaka Magome; Toshikazu Umatate

A Device mask of 180nm generation was fabricated by Photomask Repeater system and the performance of it proved to be high by the results of fabricated mask. Great margins between the results of the fabricated mask and specifications suggest that lower graded masks can be used as master masks. From this point of view, error budgets were estimated about CD uniformity and pattern placement. The required specifications for master mask were estimated for 180nm and 130nm lithography. In CD uniformity the specification is 50nm(3?) for 180nm and 30nm(3?) for 130nm lithography. In pattern placement the specification is 75nm(3?) for 180nm and 50nm(3?) for 130nm lithography. In defect size the specification is lOOOnm for 180nm and 900nm for 130nm lithography. The requirements of master mask are rather rough even for 130nm lithography and enough realistic.


Proceedings of SPIE | 2011

Stability and calibration of overlay and focus control for a double patterning immersion scanner

Masahiko Yasuda; Shinji Wakamoto; Hiroto Imagawa; Shinya Takubo; Yuuji Shiba; Takahisa Kikuchi; Yosuke Shirata; Yuuki Ishii

To achieve the 2 nm overlay accuracy required for double patterning, we have introduced the NSR-S620D immersion scanner that employs an encoder metrology system. The key challenges for an encoder metrology system include its stability as well as the methods of calibration. The S620D has a hybrid metrology system consisting of encoders and interferometers, in XY and Z. The advantage of a hybrid metrology system is that we can continuously monitor the position of the stage using both encoders and interferometers for optimal positioning control, without any additional metrology requirements or throughput loss. To support this technology, the S620D has various encoder calibration functions that make and maintain the ideal grid, and control focus. In this paper we will introduce some of the encoder calibration functions based on the interferometer. We also provide the latest performance of the tool, with an emphasis on overlay and focus control, validating that the NSR-S620D delivers the necessary levels of accuracy and stability for the production phase of double patterning.


Proceedings of SPIE | 2010

Double patterning lithography study with high overlay accuracy

Takahisa Kikuchi; Yosuke Shirata; Masahiko Yasuda; Yasuhiro Iriuchijima; Kengo Takemasa; Ryo Tanaka; Andrew J. Hazelton; Yuuki Ishii

Double patterning (DP) has become the most likely candidate to extend immersion lithography to the 32 nm node and beyond. This paper focuses on experimental results of 32nm half pitch patterning using NSR-S620D, the latest Nikon ArF immersion scanner. A litho-freeze-litho (LFL) process was employed for this experiment. Experimental results of line CDU, space CDU, and overlay accuracy are presented. Finally, a budget for pitch splitting DP at the 22 nm half pitch is presented.


Proceedings of SPIE | 2009

Feasibility study of non-topcoat resist for 22nm node devices

Koutaro Sho; Hirokazu Kato; Katsutoshi Kobayashi; Kazunori Iida; Tomoya Ori; Daizo Muto; Tsukasa Azuma; Shinichi Ito; Tomoharu Fujiwara; Yuuki Ishii; Yukio Nishimura; Takanori Kawakami; Motoyuki Shima

Subsequent to 45 nm node, immersion lithography using topcoat process is approaching its next step for mass production. However, microfabrication using immersion topcoat leads to increase in cost due to increase in process steps. In order to deal with this problem, high throughput scanners equipped with a wafer stage which moves at higher speed are under development. Furthermore, as resist process compatible with such high speed scanners, non-topcoat resist is available and seems promising in reducing costs of the resist process. Non-topcoat resist contains hydrophobic additives which are eccentrically located near the film surface. Because non-topcoat resist enables the formation of a more hydrophobic surface, non-topcoat resist process is more suitable for high-speed scanning than topcoat resist process. In the topcoat process, the function of topcoat material and resist material is separated. That is, the resist material and the topcoat material are responsible for lithographic performance and immersion scanning performance, respectively. However, the non-topcoat resist is expected both performances. That is, the non-topcoat resist are required a fine resist profile, small LWR, and low development defects at high speed immersion scanning. In this paper, we report the application of non-topcoat resist in 22 nm node devices. We investigate the influence of hydrophobic additives on imaging performance in several base polymers. Additionally, the influence of chemical species, molecular weight and amount of hydrophobic additive are investigated. Scan performance is also estimated by dynamic receding contact angle using pin scan tool. 22nm node imaging performance is evaluated using Nikon NSRS610C. The surface characteristics and lithographic performance of non-topcoat resist for 22 nm node devices are discussed.

Collaboration


Dive into the Yuuki Ishii's collaboration.

Researchain Logo
Decentralizing Knowledge