Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where A. Carr is active.

Publication


Featured researches published by A. Carr.


international interconnect technology conference | 2016

Tungsten and cobalt metallization: A material study for MOL local interconnects

Vimal Kamineni; Mark Raymond; Shariq Siddiqui; S. Tsai; C. Niu; A. Labonte; Cathy Labelle; Susan Su-Chen Fan; Brown Peethala; Praneet Adusumilli; Raghuveer Patlolla; Deepika Priyadarshini; Yann Mignot; A. Carr; S. Pancharatnam; J. Shearer; C. Surisetty; John C. Arnold; Donald F. Canaperi; Balasubramanian S. Haran; H. Jagannathan; F. Chafik; B. L'Herron

Middle-of-the-line (MOL) interconnect and contact resistances represent significant impacts to high-end IC performance at ≤ 10 nm nodes. CVD W-based metallization has been used for all nodes since the inception of damascene. However, it is now being severely challenged due to limited scaling of the traditional PVD Ti/CVD TiN barrier and ALD nucleation layers. This study reports the use of alternate barriers, along with metal-to-metal contact interface cleans, to reduce contact resistance for W-based MOL metallization. As well, we report the first use of Co metal for MOL contacts and local interconnects, with successful integration below a Cu BEOL dual damascene V0/M1 module. Metal line resistances are compared among the various options, and the challenges with each option are highlighted.


symposium on vlsi technology | 2017

Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET

Nicolas Loubet; Terence B. Hook; Pietro Montanini; C.-W. Yeung; Sivananda K. Kanakasabapathy; M. Guillom; Tenko Yamashita; J. Zhang; X. Miao; Junli Wang; A. Young; Robin Chao; Min-Gu Kang; Zuoguang Liu; S. Fan; B. Hamieh; S. Sieg; Y. Mignot; W. Xu; Soon-Cheon Seo; Jae-yoon Yoo; Shogo Mochizuki; Muthumanickam Sankarapandian; Oh-Suk Kwon; A. Carr; Andrew M. Greene; Youn-sik Park; J. Frougier; Rohit Galatage; Ruqiang Bao

In this paper, for the first time we demonstrate that horizontally stacked gate-all-around (GAA) Nanosheet structure is a good candidate for the replacement of FinFET at the 5nm technology node and beyond. It offers increased Weff per active footprint and better performance compared to FinFET, and with a less complex patterning strategy, leveraging EUV lithography. Good electrostatics are reported at Lg=12nm and aggressive 44/48nm CPP (Contacted Poly Pitch) ground rules. We demonstrate work function metal (WFM) replacement and multiple threshold voltages, compatible with aggressive sheet to sheet spacing for wide stacked sheets. Stiction of sheets in long-channel devices is eliminated. Dielectric isolation is shown on standard bulk substrate for sub-sheet leakage control. Wrap-around contact (WAC) is evaluated for extrinsic resistance reduction.


Journal of Applied Physics | 2018

Defect and grain boundary scattering in tungsten: A combined theoretical and experimental study

Nicholas A. Lanzillo; Hemant Dixit; Erik Milosevic; Chengyu Niu; A. Carr; Phil Oldiges; Mark Raymond; Jin Cho; Theodorus E. Standaert; Vimal Kamineni

Several major electron scattering mechanisms in tungsten (W) are evaluated using a combination of first-principles density functional theory, a Non-Equilibrium Greens Function formalism, and thin film Kelvin 4-point sheet resistance measurements. The impact of grain boundary scattering is found to be roughly an order of magnitude larger than the impact of defect scattering. Ab initio simulations predict average grain boundary reflection coefficients for a number of twin grain boundaries to lie in the range r = 0.47 to r = 0.62, while experimental data can be fit to the empirical Mayadas-Schatzkes model with a comparable but slightly larger value of r = 0.69. The experimental and simulation data for grain boundary resistivity as a function of grain size show excellent agreement. These results provide crucial insights for understanding the impact of scaling of W-based contacts between active devices and back-end-of-line interconnects in next-generation semiconductor technology.


symposium on vlsi technology | 2017

Highly-selective superconformai CVD Ti silicide process enabling area-enhanced contacts for next-generation CMOS architectures

N. Breil; A. Carr; T. Kuratomi; Christian Lavoie; I.-C. Chen; M. Stolfi; K. D. Chiu; W. Wang; H. Van Meer; Shashank Sharma; Raymond Hung; A. Gelatos; J. Jordan-Sweet; E. Levrau; Nicolas Loubet; Robin Chao; J. Ye; Ahmet S. Ozcan; C. Surisetty; Michael Chudzik

We investigate a novel Ti Chemical Vapor Deposition (CVD Ti) technique for source/drain and trench contact silicidation. This work is a first demonstration of a highly selective, superconformal Ti process that exhibits a low p-type CVD Ti/SiGe:B contact resistivity (pc) down to 2.1×10<sup>−9</sup> Ω.cm<sup>2</sup> (a 40% reduction vs. PVD Ti), matching the lowest published values [1-5]. A competitive n-type CVD Ti/Si:P with a ρ<inf>c</inf> at 2.6×10<sup>−9</sup> Ω.cm<sup>2</sup> is measured. We demonstrate up to 90% superconformality for this process, with a tunnel silicidation at lengths up to 500nm, showing an exceptional selectivity to oxide. This process is an enabler for the next generation of area-enhanced contact CMOS architectures.


symposium on vlsi technology | 2017

Dual beam laser annealing for contact resistance reduction and its impact on VLSI integrated circuit variability

Zuoguang Liu; Oleg Gluschenkov; Hiroaki Niimi; B. Liu; Juntao Li; J. Demarest; Shogo Mochizuki; Praneet Adusumilli; Mark Raymond; A. Carr; Shaoyin Chen; Yun Wang; Hemanth Jagannathan; Tenko Yamashita

Introduction of a dual beam (DB) millisecond (mSec) or nanosecond (nSec laser annealing in contact module results in a drastic reduction of contact resistivity. Dependence of this benefit on laser annealing parameters is detailed. The annealing power/temperature condition needed for initiating solid or liquid phase epitaxy (SPE, LPE defines a lower process boundary, while impact of laser annealing on transistor parameters, such as Vt and gate stack, defines an upper process boundary and translates to with-in-die (WID Vt variation. Combining DB laser annealing technique with process-friendly layouts enables contact resistance benefit without degrading product level variability.


international interconnect technology conference | 2016

Formation and microstructure of thin Ti silicide films for advanced technologies

Praneet Adusumilli; A. Carr; Ahmet S. Ozcan; Christian Lavoie; Jean Jordan-Sweet; D. Prater; Nicolas L. Breil; S. Polvino; Mark Raymond; D. Deniz; Vimal Kamineni

We report on the solid-state reaction of thin PVD Ti films with in-situ doped Si & SiGe alloys using a combination of in-situ x-ray diffraction, sheet resistance, laser light scattering measurements and ex-situ x-ray pole figure analysis. Thin Ti films or thin bilayer films (Ni/Ti or NiPt/Ti) are found to be much more aligned with the underlying substrates. Millisecond laser anneals also lead to the introduction of strong in-plane texture.


advanced semiconductor manufacturing conference | 2016

Interface preservation during Ge-rich source/drain contact formation

Chengyu C. Niu; Mark Raymond; Vimal Kamineni; Jody A. Fronheiser; Shariq Siddiqui; Hiroaki Niimi; J. M. Dechene; A. Labonte; Praneet Adusumilli; A. Carr; Jeffrey Shearer; J. Demarest; L. Jiang; J. Li; R.W. Hengstebeck

Contact engineering of Ge-rich source/drain is of critical importance for the development of advanced nano-scale CMOS technology nodes. Germanosilicide or Germanide contacts with low Schottky barrier height are highly desirable to achieve low contact resistance for a Ge-rich source/drain. However, practical integration of Ge-rich SiGe into devices is complicated by its unique physical and chemical properties as compared to Si-rich epitaxial SiGe. We have observed significant erosion along the SiGe interface with its dielectric cap layer. The N2-H2 remote plasma resist strip process has been shown to trigger this erosion when GeO2 exists together with SiO2 at the interface. The integrity of Ge-rich SiGe contact interface can be preserved by replacing the N2-H2 remote plasma resist strip with an O2-based photoresist ash process. Cross-sectional STEM and EDX elemental analysis have confirmed Germanide and Germanosilicide formation at the Ge-rich SiGe contact interface.


IEEE Transactions on Electron Devices | 2017

First-Principles Investigations of TiGe/Ge Interface and Recipes to Reduce the Contact Resistance

Hemant Dixit; Chengyu Niu; Mark Raymond; Vimal Kamineni; R. K. Pandey; Anirudhha Konar; Jody A. Fronheiser; A. Carr; Phil Oldiges; Praneet Adusumilli; Nicholas A. Lanzillo; Xin Miao; Bhagawan Sahu; Francis Benistant


231st ECS Meeting (May 28 - June 1, 2017) | 2017

(Invited) Contacts in Advanced CMOS: History and Emerging Challenges

Christian Lavoie; Praneet Adusumilli; A. Carr; Jean S. Jordan Sweet; Ahmet S. Ozcan; Elisabeth Levrau; Nicolas L. Breil; Emre Alptekin


international interconnect technology conference | 2018

Contact Metallization for Advanced CMOS Technology Nodes

Vimal Kamineni; A. Carr; Chengyu Niu; P. Adusumilli; T. Abrams; R. Xiel; Susan Su-Chen Fan; J. Kelly; H. Amanapu; S. Tsai; K. Ryan; Y. Liang; Xuan Lin; S. Choi; H. Dixit; A. Konar; Nicholas A. Lanzillo; H. Wu; J. Cho; Dechao Guo; K. Choi; Mark Raymond

Collaboration


Dive into the A. Carr's collaboration.

Researchain Logo
Decentralizing Knowledge