Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Zuoguang Liu is active.

Publication


Featured researches published by Zuoguang Liu.


international electron devices meeting | 2016

A 7nm FinFET technology featuring EUV patterning and dual strained high mobility channels

R. Xie; Pietro Montanini; Kerem Akarvardar; Neeraj Tripathi; Balasubramanian S. Haran; S. Johnson; Terence B. Hook; B. Hamieh; D. Corliss; Junli Wang; X. Miao; J. Sporre; Jody A. Fronheiser; Nicolas Loubet; M. Sung; S. Sieg; Shogo Mochizuki; Christopher Prindle; Soon-Cheon Seo; Andrew M. Greene; Jeffrey Shearer; A. Labonte; S. Fan; L. Liebmann; Robin Chao; A. Arceo; Kisup Chung; K. Y. Cheon; Praneet Adusumilli; H.P. Amanapu

We present a 7nm technology with the tightest contacted poly pitch (CPP) of 44/48nm and metallization pitch of 36nm ever reported in FinFET technology. To overcome optical lithography limits, Extreme Ultraviolet Lithography (EUV) has been introduced for multiple critical levels for the first time. Dual strained channels have been also implemented to enhance mobility for high performance applications.


symposium on vlsi technology | 2015

A novel ALD SiBCN low-k spacer for parasitic capacitance reduction in FinFETs

Tenko Yamashita; Sanjay Mehta; V. S. Basker; R. Southwick; Arvind Kumar; R. Kambhampati; R. Sathiyanarayanan; J. Johnson; Terence B. Hook; S. Cohen; Jing Li; Anita Madan; Zhengmao Zhu; L. Tai; Y. Yao; P. Chinthamanipeta; Marinus Hopstaken; Zuoguang Liu; Darsen D. Lu; F. Chen; S. Khan; D. Canaperi; B. Haran; James H. Stathis; Philip J. Oldiges; Chung-Hsun Lin; S. Narasimha; Andres Bryant; William K. Henson; Siva Kanakasabapathy

FinFET has become the mainstream logic device architecture in recent technology nodes due to its superior electrostatic and leakage control [1,2,3,4]. However, parasitic capacitance has been a key performance detractor in 3D FinFETs. In this work, a novel low temperature ALD-based SiBCN material has been identified, with an optimized spacer RIE process developed to preserve the low-k value and provide compatibility with the down-stream processes. The material has been integrated into a manufacturable 14nm replacement-metal-gate (RMG) FinFET baseline with a demonstrated ~8% performance improvement in the RO delay with reliability meeting the technology requirement [4]. A guideline for spacer design consideration for 10nm node and beyond is also provided based on the comprehensive material properties and reliability evaluations.


symposium on vlsi technology | 2017

Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET

Nicolas Loubet; Terence B. Hook; Pietro Montanini; C.-W. Yeung; Sivananda K. Kanakasabapathy; M. Guillom; Tenko Yamashita; J. Zhang; X. Miao; Junli Wang; A. Young; Robin Chao; Min-Gu Kang; Zuoguang Liu; S. Fan; B. Hamieh; S. Sieg; Y. Mignot; W. Xu; Soon-Cheon Seo; Jae-yoon Yoo; Shogo Mochizuki; Muthumanickam Sankarapandian; Oh-Suk Kwon; A. Carr; Andrew M. Greene; Youn-sik Park; J. Frougier; Rohit Galatage; Ruqiang Bao

In this paper, for the first time we demonstrate that horizontally stacked gate-all-around (GAA) Nanosheet structure is a good candidate for the replacement of FinFET at the 5nm technology node and beyond. It offers increased Weff per active footprint and better performance compared to FinFET, and with a less complex patterning strategy, leveraging EUV lithography. Good electrostatics are reported at Lg=12nm and aggressive 44/48nm CPP (Contacted Poly Pitch) ground rules. We demonstrate work function metal (WFM) replacement and multiple threshold voltages, compatible with aggressive sheet to sheet spacing for wide stacked sheets. Stiction of sheets in long-channel devices is eliminated. Dielectric isolation is shown on standard bulk substrate for sub-sheet leakage control. Wrap-around contact (WAC) is evaluated for extrinsic resistance reduction.


symposium on vlsi technology | 2016

Ti and NiPt/Ti liner silicide contacts for advanced technologies

Praneet Adusumilli; Emre Alptekin; Mark Raymond; Nicolas L. Breil; F. Chafik; Christian Lavoie; D. Ferrer; S. Jain; V. Kamineni; Ahmet S. Ozcan; S. Allen; J. J. An; V. S. Basker; R. Bolam; Huiming Bu; Jin Cai; J. Demarest; Bruce B. Doris; E. Engbrecht; S. Fan; J. Fronheiser; Oleg Gluschenkov; Dechao Guo; B. Haran; D. Hilscher; Hemanth Jagannathan; D. Kang; Y. Ke; J. Kim; Siyuranga O. Koswatta

We discuss the transition to Ti based silicides for source-drain (SD) contacts for 3D FinFET devices starting from the 14nm node & beyond. Reductions in n-FET & p-FET contact resistances are reported with the optimization of metallization process & dopant concentrations. The optimization of SiGe epitaxy and addition of a thin interfacial NiPt(10%) are found to significantly improve p-FET contact performance.


IEEE Electron Device Letters | 2016

Sub-

Hiroaki Niimi; Zuoguang Liu; Oleg Gluschenkov; Shogo Mochizuki; Jody A. Fronheiser; Juntao Li; J. Demarest; Chen Zhang; B. Liu; Jie Yang; Mark Raymond; Bala Haran; Huiming Bu; Tenko Yamashita

We report record low 8.4 × 10<sup>-10</sup> Ω-cm<sup>2</sup> n-type S/D contact resistivity with laser-induced solid/liquid phase epitaxy of Si:P inside nano-scale contact trenches. Significant reduction of device resistance and resultant great gain of drain current has been demonstrated in scaled n-FinFETs with a contact length of 20 nm.


international electron devices meeting | 2016

10^{-9}~\Omega

Oleg Gluschenkov; Zuoguang Liu; Hiroaki Niimi; Shogo Mochizuki; Jody A. Fronheiser; X. Miao; J. Li; J. Demarest; Chen Zhang; Chengyu Niu; B. Liu; A. Petrescu; Praneet Adusumilli; Jie Yang; Hemanth Jagannathan; Huiming Bu; Tenko Yamashita

We achieved mid-10<sup>−10</sup> Ω-cm<sup>2</sup> n-type S/D contact resistivity (npc) and 1.9×10<sup>−9</sup> Ω-cm<sup>2</sup> p-type S/D contact resistivity (ppc) by employing laser-induced liquid or solid phase epitaxy (LPE/SPE) of Si:P and Ge:Group-III-Metal metastable alloys inside nano-scale contact trenches. The Ge: Group-III-Metal alloy allows for a metal-Ge Fermi level pinning effect to lower Schottky barrier height (SBH) while reducing both bulk and unipolar heterojunction resistances. Correspondingly, large Ron reduction and Id gain have been realized in scaled n- and p-FinFETs with the contact length of less than 20nm.


international electron devices meeting | 2016

-cm2 n-Type Contact Resistivity for FinFET Technology

Kangguo Cheng; Chanro Park; Chun Wing Yeung; Son Van Nguyen; Jingyun Zhang; X. Miao; Miaomiao Wang; Sanjay Mehta; J. Li; C. Surisetty; R. Muthinti; Zuoguang Liu; Henry H. K. Tang; Stan Tsai; Tenko Yamashita; Huiming Bu; Rama Divakaruni

For the first time, we report integration of air spacers with FinFET technology at 10nm node dimensions. The benefit of parasitic capacitance reduction by air spacers has been successfully demonstrated both at transistor level (15–25% reduction in overlap capacitance (COT)) and at ring oscillator level (10–15% reduction in effective capacitance (Cf)). Key process challenges and device implications of integrating air spacers in FinFET are identified. We propose a partial air spacer scheme, in which air spacers are formed only above fin top and sandwiched by two dielectric liners, as a viable option to adopt air spacers in FinFET technology with minimal risks to yield and reliability.


international electron devices meeting | 2016

FinFET performance with Si:P and Ge:Group-III-Metal metastable contact trench alloys

Gen Tsutsui; Ruqiang Bao; Kwan-yong Lim; Robert R. Robison; Reinaldo A. Vega; Jie Yang; Zuoguang Liu; Miaomiao Wang; Oleg Gluschenkov; Chun Wing Yeung; Koji Watanabe; Steven Bentley; Hiroaki Niimi; Derrick Liu; Huimei Zhou; Shariq Siddiqui; Hoon Kim; Rohit Galatage; Rajasekhar Venigalla; Mark Raymond; Praneet Adusumilli; Shogo Mochizuki; Thamarai S. Devarajan; Bruce Miao; B. Liu; Andrew M. Greene; Jeffrey Shearer; Pietro Montanini; Jay W. Strane; Christopher Prindle

Low Ge content SiGe-based CMOS FinFET is one of the promising technologies [1-2] offering solutions for both high performance and low power applications. In this paper, we established a competitive SiGe-based CMOS FinFET baseline and examined various elements for high performance offering. The performance elements in gate stack, channel doping, contact resistance, and junction have been explored to provide a cumulative 20% / 25% (n/pFET) performance enhancement. These elements provide a viable path towards performance enhancement for future technology nodes.


international reliability physics symposium | 2015

Air spacer for 10nm FinFET CMOS and beyond

Miaomiao Wang; Zuoguang Liu; Tenko Yamashita; James H. Stathis; Chia-Yu Chen

A fast two-point measurement methodology, applicable to nano-scale devices, is introduced to separate electron trapping (Not, e) from interface state contributions (Nit) in hot carrier (HC) induced ΔVt in n-type RMG SOI FinFETs. It is shown that Not, e component is comparable to or dominates over Nit for high-Vg (≥ Vd) hot carrier stress (HCS). The time power-law exponent for HC induced Not, e is larger than 0.2, indicating the observed Not, e is partly due to injection of hot carriers instead of purely parasitic PBTI effect caused by the cold carriers.


symposium on vlsi technology | 2017

Technology viable DC performance elements for Si/SiGe channel CMOS FinFTT

Zuoguang Liu; Oleg Gluschenkov; Hiroaki Niimi; B. Liu; Juntao Li; J. Demarest; Shogo Mochizuki; Praneet Adusumilli; Mark Raymond; A. Carr; Shaoyin Chen; Yun Wang; Hemanth Jagannathan; Tenko Yamashita

Introduction of a dual beam (DB) millisecond (mSec) or nanosecond (nSec laser annealing in contact module results in a drastic reduction of contact resistivity. Dependence of this benefit on laser annealing parameters is detailed. The annealing power/temperature condition needed for initiating solid or liquid phase epitaxy (SPE, LPE defines a lower process boundary, while impact of laser annealing on transistor parameters, such as Vt and gate stack, defines an upper process boundary and translates to with-in-die (WID Vt variation. Combining DB laser annealing technique with process-friendly layouts enables contact resistance benefit without degrading product level variability.

Researchain Logo
Decentralizing Knowledge