Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where A. Hatada is active.

Publication


Featured researches published by A. Hatada.


international electron devices meeting | 2004

Technology booster using strain-enhancing laminated SiN (SELS) for 65nm node HP MPUs

K. Goto; Shigeo Satoh; H. Ohta; S. Fukuta; T. Yamamoto; Toshihiko Mori; Y. Tagawa; T. Sakuma; T. Saiki; Y. Shimamune; A. Katakami; A. Hatada; H. Morioka; Y. Hayami; S. Inagaki; K. Kawamura; Y. S. Kim; H. Kokura; Naoyoshi Tamura; Naoto Horiguchi; M. Kojima; T. Sugii; K. Hashimoto

Strain enhancing laminated SiN (SELS) is reported for the first time. Although the same thickness and stress SiN film is used, channel strain is enhanced by multi layer deposition. This effect was investigated by our simulations and experiments. To solve wafer bending problem, we developed a new process flow which selectively forms SELS only on the nMOS gate. A high performance 37nm gate nMOSFET and 45nm gate pMOSFET (stage IV) were demonstrated with a drive currents of 1120/spl mu/A//spl mu/m and 690/spl mu/A//spl mu/m at V/sub dd/=1V/I/sub off/=100nA//spl mu/m, respectively. This is the best drive current among the recent reports.


international electron devices meeting | 2005

High performance 30 nm gate bulk CMOS for 45 nm node with /spl Sigma/-shaped SiGe-SD

H. Ohta; Y. S. Kim; Y. Shimamune; T. Sakuma; A. Hatada; A. Katakami; T. Soeda; K. Kawamura; H. Kokura; H. Morioka; T. Watanabe; J.O.Y. Hayami; J. Ogura; M. Tajima; Toshihiko Mori; Naoyoshi Tamura; M. Kojima; K. Hashimoto

Aggressively scaled 30 nm gate CMOSFETs for 45 nm node is reported. We successfully improved the short channel effect with keeping a high drive current by Sigma shaped SiGe-source/drain (SiGe-SD) structure. Both hole mobility and source/drain extension (SDE) resistance in pMOSFET are improved by combination of optimized Sigma shaped SiGe-SD and slit-embedded B-doped SiGe-SDE. Electron and hole mobility enhancement can be balanced by aggressively scaled poly-Si pMOS gate height and SiN capped shallow trench isolation (STI) with SiN liner. A high performance 30 nm/33 nm gate nMOSFET and pMOSFET were demonstrated with a drive currents of 937/1000 muA/mum and 490/545 muA/mum at Vd=1.0 V / Ioff=100 nA/mum, respectively


international electron devices meeting | 2007

High-Performance and Low-Power Bulk Logic Platform Utilizing FET Specific Multiple-Stressors with Highly Enhanced Strain and Full-Porous Low-k Interconnects for 45-nm CMOS Technology

T. Miyashita; Kazuto Ikeda; Y. S. Kim; T. Yamamoto; Y. Sambonsugi; Hirosato Ochimizu; Tsunehisa Sakoda; M. Okuno; Hiroshi Minakata; H. Ohta; Y. Hayami; K. Ookoshi; Y. Shimamune; M. Fukuda; A. Hatada; K. Okabe; M. Tajima; E. Motoh; T. Owada; M. Nakamura; H. Kudo; T. Sawada; J. Nagayama; A. Satoh; Toshihiko Mori; A. Hasegawa; H. Kurata; K. Sukegawa; Atsuhiro Tsukune; S. Yamaguchi

We present an aggressively-scaled high-performance and low-power bulk CMOS platform technology aiming at large-scale (multi-core) high-end use with 45-nm ground rule. By utilizing a high-epsilon offset spacer and FET specific multiple-stressors with highly enhanced strain, world competitive high performance NFET and PFET drive currents of 1.22/0.765 mA/mum at 100 nA/mum off-current, and 0.97/0.63 mA/mum at 10 nA/mum off-current at |Vd|= 1V, respectively, were obtained with minimizing layout dependence. This technology also offers a functional high density SRAM with a much smaller cell, i.e., 0.255 mum2. In addition, full- porous low-k (k = 2.25) BEOL integration lowers RC delay and reduces total circuit delay by 25% at the long wiring region compared to that of our previous technology.


symposium on vlsi technology | 2006

High-Performance Low Operation Power Transistor for 45nm Node Universal Applications

Masashi Shima; K. Okabe; A. Yamaguchi; Tsunehisa Sakoda; Kazuo Kawamura; S. Pidin; M. Okuno; T. Owada; K. Sugimoto; J. Ogura; H. Kokura; H. Morioka; T. Watanabe; T. Isome; K. Okoshi; Toshihiko Mori; Y. Hayami; Hiroshi Minakata; A. Hatada; Y. Shimamune; A. Katakami; H. Ota; T. Sakuma; T. Miyashita; K. Hosaka; H. Fukutome; Naoyoshi Tamura; Takayuki Aoyama; K. Sukegawa; M. Nakaishi

High-performance low operation power (LOP) transistors were developed for 45nm node universal applications. A high uniaxial strain and low resistance NiSi technique, enhanced by a slit under the slim and high Youngs modulus (YM) offset spacer covered with dual stress liner (DSL), were used for electron and hole mobility enhancement and parasitic resistance (Rsd) reduction. The junction profile was also carefully optimized for low leakage current. As a result of a 12% mobility improvement and a 30% Rsd reduction, enhancements of 19 and 14% and Ion(@Ioff= 5 nA/mum) of 620 and 830 muA/mum were achieved for NMOS at 0.85 and 1.0V, respectively. As a result of a 45% mobility improvement and a 25% Rsd reduction, the enhancements of 32 and 22% and Ion of 330 and 440 muA/mum were achieved for PMOS at 0.85 and 1.0V, respectively. These results are the best Ion-Ioff tradeoff characteristics among the recent LOP transistors


symposium on vlsi technology | 2007

Novel Thin Sidewall Structure for High Performance Bulk CMOS with Charge-Assisted Source-Drain-Extension

H. Ohta; H. Fukutome; T. Sakuma; A. Hatada; K. Ohkoshi; Kazuto Ikeda; T. Miyashita; Toshihiko Mori; T. Sugii

We have developed a novel junction profile engineering using thin sidewall structure and applied it to sub-40 nm uniaxial strained CMOS devices. This transistor used a high-k thin sidewall with electrical charge in achieving a higher drive current with keeping the short channel effect. Consequently, the 18.5/15.6% reduction of parasitic resistance achieve the 8.2/13.0% improvement in the saturation current (Ion) at 38 nm gate length for nMOS and pMOS. In addition, Ion dependence on active width (Wg) for pMOS is very small. In the size of active width : 0.1 mum, a 42% of Ion enhancement gave us Ion = 680 muA/mum at Vdd=1 V. These characteristics are originated from formation of inversion layer and suppressing channeling penetration of pocket impurities implanted. A high performance Bulk nMOS and pMOS were demonstrated with Ion of 1069 muA/mum and 725 muA/mum at Vdd=1 V / Ioff=100 nA/mum, respectively.


symposium on vlsi technology | 2006

Novel Stack-SIN Gate Dielectrics for High Performance 30 nm CMOS for 45 nm Node with Uniaxial Strained Silicon

H. Ohta; M. Hori; Masashi Shima; H. Mori; Yosuke Shimamune; T. Sakuma; A. Hatada; A. Katakami; Y. S. Kim; Kazuo Kawamura; T. Owada; H. Morioka; T. Watanabe; Y. Hayami; J. Ogura; Naoyoshi Tamura; M. Kojima; Koichi Hashimoto

Aggressively scaled 30nm gate CMOSFETs for 45nm node is reported. We successfully improved a higher drive current with keeping the short channel effect by Sigma shaped SiGe-source/drain (Sigma SiGe) structure using compressive-stressed liner. In addition, we developed novel stack-SIN gate dielectrics by using bis-tertiarybutylamino-silane (BTBAS)/NH3. Novel stack-SIN gate dielectrics show higher immunity to negative bias temperature instability (NBTI) and time-dependent dielectric breakdown (TDDB) lifetime compared with conventional plasma nitrided silicon dioxide. These characteristic are originated from its unique nitrogen profile. The nitrogen concentration is over 22% at the surface of the dielectric and it rapidly decreases to 1% at the interface with a substrate. A high performance 30 nm gate nMOSFET and pMOSFET were demonstrated with a drive currents of 1042 muA/mum and 602 muA/mum at Vd = 1 V / Ioff=100 nA/mum, respectively


international electron devices meeting | 2006

Suppression of Defect Formation and Their Impact on Short Channel Effects and Drivability of pMOSFET with SiGe Source/Drain

Y. S. Kim; Y. Shimamune; M. Fukuda; A. Katakami; A. Hatada; K. Kawamura; H. Ohta; T. Sakuma; Y. Hayami; H. Morioka; J. Ogura; T. Minami; Naoyoshi Tamura; Toshihiko Mori; M. Kojima; K. Sukegawa; K. Hashimoto; Motoshu Miyajima; Shigeo Satoh; T. Sugii

The impact of defects on the short channel effects (SCE) and the drivability of a pMOSFET with a SiGe source/drain is described, and useful methods to reduce defect formation are suggested. The influence of defects on device performance is found to become more severe as recess depth increases and/or channel length decreases. By optimizing the epitaxial process, including an in-situ precleaning step, the initial defect density is reduced, and by introducing a cap layer on a SiGe layer, the thermal stability of the SiGe layer is improved. The optimized devices enhance mobility 42% by maximizing the strain effect and provide better SCE characteristics by suppressing boron diffusion


international symposium on vlsi technology, systems, and applications | 2008

Integration Strategy of Embedded SiGe S/D CMOS from Viewpoint of Performance and Cost for 45nm-Node and Beyond

Kazuto Ikeda; T. Miyashita; H. Ohta; Y. S. Kim; M. Fukuda; Yosuke Shimamune; Naoyoshi Tamura; H. Fukutome; A. Hatada; K. Okabe; Y. Hayami; M. Tajima; H. Morioka; J. Ogura; Kazuo Kawamura; H. Kurata; K. Sukegawa; S. Satoh; Masataka Kase; T. Sugii

Direct comparison between competitive process flows showed that the eSiGe-S/D-last flow is the most promising CMOS integration process for manufacturing 45-nm technology node and beyond because it has good extensibility with various performance boosters, has fewer process steps and suppresses electrical fluctuations. The eSiGe-S/D-last (after offset spacer + I.I.) flow creates a sufficient process window that comprehensively optimizes both channel strain, induced by eSiGe-S/D (proximity, elevated height, and uniformity), and carrier profiles (offset spacer and thermal budget including millisecond annealing). An optimized eSiGe-S/D with a low thermal budget and amorphous Si gate decreases electrical fluctuations resulting in continuous scaling and a lower manufacturing cost.


european solid state device research conference | 2005

A highly robust SiGe source drain technology realized by disposable sidewall spacer (DSW) for 65nm node and beyond

Y. S. Kim; Toshihiko Mori; Y. Hayami; T. Yamamoto; H. Morioka; H. Kokura; K. Kawamura; Y. Shimamune; A. Katakami; A. Hatada; M. Shima; Naoyoshi Tamura; H. Ohta; T. Sakuma; M. Kojima; M. Nakaishi; T. Sugii; M. Miyajima

A SiGe source drain (SD) technology by using a disposable sidewall spacer (DSW) for high performance PMOSFET is proposed to avoid device degradation induced by high temperature epitaxial process. DSW process is effective for suppressing gate depletion and short channel effect (SCE). A successful integration of DSW process into SiGe SD PMOSFET is performed to generate strain in the channel region, enhancing hole mobility. Characteristics examined include SCE as well as drivability of SiGe SD PMOSFET. It is found that drive current enhancement is strongly affected by the parasitic resistance as well as the strain effect. 35% enhancement in saturation drive current is achieved by optimizing both the strain effect and the parasitic resistance, while threshold voltage roll-off characteristic is the same as a reference device which is fabricated by conventional bulk process.


symposium on vlsi technology | 2007

Technology Breakthrough of Low Temperature, Low Defect, and Low Cost SiGe Selective Epitaxial Growth (L 3 SiGe SEG) Process for 45nm Node and Beyond

Yosuke Shimamune; M. Fukuda; M. Koiizuka; A. Katakami; A. Hatada; Kazuto Ikeda; Y. S. Kim; Kazuo Kawamura; Naoyoshi Tamura; Toshihiko Mori; A. Moriya; Y. Hashiba; Y. Inokuchi; Y. Kunii; Masataka Kase

We have developed low temperature, low defect and low cost SiGe selective epitaxial growth (L3 SiGe SEG) process using a high throughput batch type CVD process at first time. Defect is eliminated by low temperature pre-cleaning and recess shape control. As a result, we have achieved the high quality SiGe SEG, improving the compressive channel stress and reducing the junction leakage. We also improved the NMOS short channel effects by low temperature SiGe SEG. Finally, in combination of low temperature SiGe SEG, dual stress SiN liner, and low thermal budget metallization, drive current of 725 muA/mum in PMOS and 940 muA/mum in NMOS were achieved at off current (Ioff) =100 nA/mum at drain bias (VDD) = 1.0 V.

Collaboration


Dive into the A. Hatada's collaboration.

Researchain Logo
Decentralizing Knowledge