Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ranee W. Kwong is active.

Publication


Featured researches published by Ranee W. Kwong.


Journal of Vacuum Science & Technology B | 2004

Effect of thin-film imaging on line edge roughness transfer to underlayers during etch processes

Dario L. Goldfarb; Arpan P. Mahorowala; Gregg M. Gallatin; Karen Petrillo; Karen Temple; Marie Angelopoulos; Stacy Rasgon; Herbert H. Sawin; Scott D. Allen; Margaret C. Lawson; Ranee W. Kwong

For the patterning of sub-100 nm features, a clear understanding of the origin and control of line edge roughness (LER) is extremely desirable, from a fundamental as well as a manufacturing perspective. With the migration to thin photoresists coupled with bottom antireflective coating (ARC)-hardmask underlayers, LER analysis of the developed resist structures is perhaps an inaccurate representation of the substrate roughness after the etch process, since those underlayers can play a significant role in increasing/decreasing linewidth variations during the image transfer process and hence can impact the device performance. In this article, atomic force microscopy is used to investigate the contribution of the imaging resist sidewall topography to the sidewall roughness of the final etched feature in thin photoresists, ARC, and hardmasks. Resist systems suitable for 248 and 193 nm lithography as well as fluorine-containing resists were processed using N2-H2 or fluorocarbon plasma etch. It is shown that the ...


26th Annual International Symposium on Microlithography | 2001

IBM 193-nm bilayer resist: materials, lithographic performance, and optimization

Ranee W. Kwong; Pushkara Rao Varanasi; Margaret C. Lawson; Timothy Hughes; George M. Jordhamo; Mahmoud Khojasteh; Arpan P. Mahorowala; Ratnam Sooriyakumaran; Phillip J. Brock; Carl E. Larson; Debra Fenzel-Alexander; Hoa D. Truong; Robert D. Allen

193nm lithography will be the future technology for sub- 150nm resolution. As the dimensions get smaller, resist thickness is also needed to be reduced for better resolution and wider process window. Single layer 193nm resist, with thickness of less than 500nm, may not be able to satisfy some of the substrate etch requirement. With bilayer resist scheme, the thin resist offers the advantages of high resolution and good process window. The thick underlayer provides the etch resistance required for substrate etching. IBM has developed a silane substituted alternating copolymer based 193nm bilayer resist system and demonstrates sub-120nm resolution using Nikon 0.6NA stepper with Chrome on Glass (COG) mask. Lithographic performance and formulation optimizations of this 193nm bilayer resist as well as underlayer evaluation and some etch study will be discussed.


Advances in Resist Technology and Processing XX | 2003

Rational design in cyclic olefin resists for sub-100-nm lithography

Wenjie Li; Pushkara Rao Varanasi; Margaret C. Lawson; Ranee W. Kwong; Kuang-Jung Chen; Hiroshi Ito; Hoa D. Truong; Robert D. Allen; Masafumi Yamamoto; Eiichi Kobayashi; Mark Slezak

In an effort to design our next generation resist materials for sub-100nm lithography, we have introduced the hexafluoroisopropanol (HFA) functionality into the cyclic olefin (CO) polymer structure. It is found that the fluorine-containing HFA group not only helps reduce the 157nm optical density (O.D.) of the polymer and makes it suitable for 157nm application, but also dramatically improves the dissolution properties of the resulting CO polymer. Copolymers with a wide range (20-80%) of norbornene HFA (NBHFA) concentrations show little swelling behavior in aqueous base developer. The dissolution properties of the CO polymer could be further improved by combining the HFA and lactone structures in the CO polymers. This new version of CO polymers maintain a good etch resistance and excellent surface roughness after etch despite the fluorine content. Lithographic evaluation of resists based on these polymers (Cobra 5K) using a 193nm exposure tool (0.75 NA) reveals that Cobra 5K has a low post exposure bake (PEB) sensitivity (<0.5 nm/°C), good process window for both line/space (L/S) and contact holes patterns, and outstanding resolution capabilities especially for contacts application (<100nm).


Advances in resist technology and processing. Conference | 2005

193nm single layer photoresists: defeating tradeoffs with a new class of fluoropolymers

Pushkara Rao Varanasi; Ranee W. Kwong; Mahmoud Khojasteh; Kaushal S. Patel; Kuang-Jung Chen; Wenjie Li; Margaret C. Lawson; Robert D. Allen; Ratnam Sooriyakumaran; Phillip J. Brock; Linda K. Sundberg; Mark Slezak; Gary Dabbagh; Zhi Liu; Yukio Nishimura; Takashi Chiba; Tsutomu Shimokawa

The focus of this paper is to utilize the acidity of hexafluoroalcohol (HFA) in addressing performance deficiencies associated with current 193nm methacrylate resist materials. In this study, we have designed and developed a variety of HFA pendant methacrylate monomers and the corresponding imaging polymers for ArF lithography. It was shown that typical swelling behavior observed in methacrylate resists can be substantially reduced or eliminated by replacing commonly used multicylcic lactone polar functionalities with acidic HFA side chains. The incorporation of aliphatic spacers between HFA and polymer backbone were found to be more effective than cyclic hindered moieties, in achieving linear dissolution characteristics. The typical poor etch stability associated with fluorine atoms in HFA can be substantially minimized by designing side chains with a combination of appropriate cyclic and aliphatic moieties and fine-tuning the corresponding polymer compositions. PEB sensitivity of high activation energy protecting group (e.g., methyladamentyl group) based methacrylate resists can be substantially improved through the incorporation of acidic HFA side chains (6nm/C to <1 nm/C). The key application space for HFA-methacrylate resists appears to be trench level lithography. It was also demonstrated that these HFA materials are compatible with immersion lithography and result in dramatically improved process windows for iso trench features, in addition to other lines/space features.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Reactive ion etch studies of DUV resists

Ranee W. Kwong; Wayne M. Moreau; Wendy Yan

The morphology and degradation of the polymeric DUV resist of polyhydroxystyrene/t-butyl acrylate copolymers after CF4/O2/Ar/CHF3 reactive ion etching (RIE) was studied. The surface pitting in the area of 10 nm with spikes as large as 85 nm were found. DUV resists with higher t-butylacrylate content in the main chain were susceptible to main chain scission and surface pitting. The entrapment of volatile fragments by the deposited amorphous fluorocarbon polymer during the RIE process leads to surface distortions. Pre-hardening of the resist by electron beam reduces the surface pitting by two fold. Negative resist based on polyhydroxystyrene homopolymer with minimum volatile produced very smooth films with a tenfold reduction in roughness factor. The future design of positive resist should include the RIE performance factor of minimal outgassing and minimum sidewall surface roughness.


Advances in Resist Technology and Processing XI | 1994

Evaluation of a new environmentally stable positive tone chemically amplified deep-UV resist

Wu-Song Huang; Ranee W. Kwong; Ahmad D. Katnani; Mahmoud Khojasteh

Similar to most of the reported positive deep-UV resists, this new resist is also a chemically amplified system. However, unlike other resists, this resist if resilient to airborne base contaminants and it shows stable resist linewidth with more than 24 hours delay between exposure and develop. This resist has high sensitivity (17-18 mJ/cm2), high contrast (7), high resolution (0.35 micrometers with (lambda) equals 248 nm and NA equals 0.37) and large process latitude in deep UV-lithography. This system does not require postexposure bake. When a postexposure bake is introduced, the linewidth variation is in the range of 2-3 nm per degree. This eliminates the concern of linewidth variation in resist images due to slight variation of postexposure baking temperature, which is commonly encountered in chemically amplified resist systems. This resist system is environmentally stable like conventional DQ system; at the same time, it retains most of the merits of chemically amplified system.


Advances in Resist Technology and Processing VII | 1990

Sensitivity enhancers for chemically amplified resists

William R. Brunsvold; Ranee W. Kwong; Warren Montgomery; Wayne M. Moreau; Harbans S. Sachdev; Kevin M. Welsh

The addition of phenolic compounds to positive tone chemically amplified resists has increased sensitivity by approximately 2X for Deep UV exposures and up to 5Xfor X-ray imaging. Sensitivity enhancement during e-heam exposures was only 20%. Additives like hydroquinone sensitize various acid generators including triphenyl sulfonium triflate (TPS) and N-tosyloxyphthalimide (PTS) without affecting contrast and image profiles. The sensitization occurs in poly(t-butyloxycarbonyloxystyrene) as well as in base soluble resins. With PTS, the predominant mechanism is believed to involve electron transfer from the excited singlet or triplet state of the additive to the acid generator. For onium salt, direct photolysis plays a significant role in acid generation so that the effect of the additives is not as great as with PTS.


Advances in Resist Technology and Processing XX | 2003

High-performance 193-nm photoresist materials based on a new class of polymers containing spaced ester finctionalities

Mahmoud Khojasteh; K. Rex Chen; Ranee W. Kwong; Margaret C. Lawson; Pushkara Rao Varanasi; Kaushal S. Patel; Eiichi Kobayashi

ArF lithography has been selected as the imaging method for the 90 nm technology node. Manufacturing related issues will have to be addressed when designing advanced 193 nm resists that are production worthy. Post exposure bake (PEB) sensitivity, dissolution properties and process window are some issues that need continuous improvement. Initially our investigation focused on a cyclic olefin (CO) platform which led us to a better understanding of the relationship between polymer structure and physical properties and how to improve cyclic olefin resist performance. Since then we have developed a new class of acrylate polymers with pendant “spaced ester” functionality. We have investigated the potential use of “spaced ester” functionality on improving the lithographic performance of CO and acrylate resist platforms. We have found that with “spaced ester” as pending group in CO polymer structures, it can lower the Tg and improve the dissolution properties of the CO resists. Resists formulated with acrylate containing “spaced ester” group exhibit excellent PEB temperature sensitivity (1 nm/°C), and are soluble in PGMEA. In addition, we have demonstrated sub-100 nm resolution with excellent process window through formulation optimization for acrylate based resists. This paper will focus on the “spaced ester” based polymer design, material properties; resist characteristics, and the lithographic performance for logic dense line applications.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Evolution of a 193-nm bilayer resist for manufacturing

Ranee W. Kwong; Mahmoud Khojasteh; Margaret C. Lawson; Timothy Hughes; Pushkara Rao Varanasi; William R. Brunsvold; Robert D. Allen; Phillip J. Brock; Ratnam Sooriyakumaran; Hoa D. Truong; Arpan P. Mahorowala; David R. Medeiros

As 193 nm resist moves into production with minimum feature sizes approaching 100nm, bilayer resist is being evaluated more closely for certain applications. Our polymer design has been evolving to meet tighter outgassing requirements. Optical density, etch resistance and dissolution behavior are other considerations. The protecting group used in our 248 nm bilayer is not useful for 193 nm lithography because of the high optical density contribution from Si-Si linkage. Silicon was incorporated into a COMA platform for the first generation polymer. Maleic anhydride is used to modulate dissolution characteristics. The first generation 193 nm bilayer was optimized to print 120 nm L/S patterns with an attenuated PSM on a 0.6 NA Nikon S302. We will describe next generation platforms that address silicon outgassing concern. The lithographic performance of these resists was evaluated on a 0.6 NA Nikon S302 with a dark field mask. Results for 280nm pitch (1:1 L/S) and 245 nm pitch (105 nm L, 140 nm S) lithography are presented. Also shown is result for a 245 nm pitch (1:1 L/S) and 210 nm pitch (1:1 L/S) on a 0.75 NA ASML PAS 5500/1100. Outgassing data generated at MIT Lincoln Laboratory will be discussed.


Advances in Resist Technology and Processing XX | 2003

Highly etch-selective spin-on bottom antireflective coating for use in 193-nm lithography and beyond

Dirk Pfeiffer; Arpan P. Mahorowala; Katherina Babich; David R. Medeiros; Karen Petrillo; Marie Angelopoulos; Wu-Song Huang; Scott Halle; Colin J. Brodsky; Scott D. Allen; Steven J. Holmes; Ranee W. Kwong; Robert Lang; Phillip J. Brock

Extending 193nm lithography to well below 100nm resolution will depend on high NA tooling coupled with thin resist processing. Semiconductor manufacturing uses BARCs (Bottom Antireflective Coating) based on organic spin coatable polymers, to improve the resolution by absorbing light that otherwise will be reflected back into the resist. However, the use of organic BARCs for patterning sub 100nm features will be limited due to poor etch selectivity to the photo resist. IBM has developed a new class of polymers that can function as planarizing BARCs. These materials show an etch selectivity to the photo resist in excess of 3:1 in fluorocarbon based ARC-open RIE chemistry. The hardmask properties of these materials for oxide open are equivalent to typical resists. Furthermore these materials can be implemented like organic ARCs and are stripped in resist strips available in manufacturing. Basic materials characterization data, optical tunability, lithographic performance with different resists, process window data, and complete integration schemes will be presented.

Researchain Logo
Decentralizing Knowledge