Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where David C. Brandt is active.

Publication


Featured researches published by David C. Brandt.


Proceedings of SPIE | 2006

LPP EUV source development for HVM

David C. Brandt; Igor V. Fomenkov; Alex I. Ershov; William N. Partlo; David W. Myers; N. Böwering; Alexander N. Bykanov; G. Vaschenko; Oleh V. Khodykin; Jerzy R. Hoffman; L Ernesto Vargas; Rodney D. Simmons; Juan A. Chavez; Christopher P. Chrobak

This paper provides a detailed review of development progress for a laser-produced-plasma (LPP) extreme-ultra-violet (EUV) source with performance goals targeted to meet joint requirements from all leading scanner manufacturers. We present the latest results on drive laser power and efficiency, source fuel, conversion efficiency, debris mitigation techniques, multi-layer-mirror coatings, collector efficiency, mass-limited droplet generation, laser-to-droplet targeting control, and system use and experience. The results from full-scale prototype systems are presented. In addition, several smaller lab-scale experimental systems have also been constructed to test specific physical aspects of the light sources. This report reviews the latest experimental results obtained on these systems with a focus on the topics most critical for a source intended for use in high volume manufacturing (HVM). LPP systems have been developed for light-sources applications to enable EUV scanners for optical imaging of circuit features at nodes of 32 nm and below on the international technology roadmap for semiconductors (ITRS). LPP systems have inherent advantages over alternate source types, such as discharge produced plasmas (DPP), with respect to power scalability, source etendue, collector efficiency, and component lifetime. The capability to scale EUV power with laser repetition rate and pulse energy is shown, as well as the modular architecture for extendability. In addition, experimental results of debris mitigation techniques and witness sample lifetime testing of coated multi-layer-mirrors (MLM) are described and used to support the useful lifetime estimation of a normal incidence collector. A roadmap to meet requirements for production scanners planned well into the next decade is also presented.


Emerging Lithographic Technologies IX | 2005

EUV Source System Development Update: Advancing Along the Path to HVM

Dave Myers; Igor V. Fomenkov; Bjorn A. M. Hansson; B. C. Klene; David C. Brandt

The EUV light source has been characterized as the top-priority critical issue facing the viability of EUV lithography. Cymers extensive EUV source development efforts have focused both on the technical feasibility of various approaches as well as the critical issue of commercial feasibility to reach high volume manufacturing (HVM) requirements. We present a comprehensive summary of performance data from a state-of-the-art operational EUV source that thoroughly characterizes technical issues such as conversion efficiency, source material delivery, collector coatings, protection techniques and the path to higher and higher EUV power. Additionally, we present analysis of this performance data when compared to HVM requirements. Finally, we also briefly investigate the associated implications of the cost of consumables (COC) for a production EUV light source.


Proceedings of SPIE | 2009

LPP source system development for HVM

David C. Brandt; Igor V. Fomenkov; Alex I. Ershov; William N. Partlo; David W. Myers; N. Böwering; Nigel R. Farrar; G. Vaschenko; Oleh V. Khodykin; Alexander N. Bykanov; Jerzy R. Hoffman; Christopher P. Chrobak; Shailendra N. Srivastava; Imtiaz Ahmad; Chirag Rajyaguru; Daniel J. Golich; David A. Vidusek; Silvia De Dea; Richard R. Hou

Laser produced plasma (LPP) systems have been developed as a viable approach for the EUV scanner light sources to support optical imaging of circuit features at sub-22nm nodes on the ITRS roadmap. This paper provides a review of development progress and productization status for LPP extreme-ultra-violet (EUV) sources with performance goals targeted to meet specific requirements from leading scanner manufacturers. The status of first generation High Volume Manufacturing (HVM) sources in production and at a leading semiconductor device manufacturer is discussed. The EUV power at intermediate focus is discussed and the lastest data are presented. An electricity consumption model is described, and our current product roadmap is shown.


Proceedings of SPIE | 2009

Laser produced plasma light source for EUVL

Igor V. Fomenkov; David C. Brandt; Alexander N. Bykanov; Alex I. Ershov; William N. Partlo; David W. Myers; N. Böwering; Nigel R. Farrar; G. Vaschenko; Oleh V. Khodykin; Jerzy R. Hoffman; Christopher P. Chrobak; Shailendra N. Srivastava; Daniel J. Golich; David A. Vidusek; Silvia De Dea; Richard R. Hou

This paper is devoted to the development of laser produced plasma (LPP) EUV source architecture for advanced lithography applications in high volume manufacturing of integrated circuits. The paper describes the development status of subsystems most critical to the performance to meet scanner manufacturer requirements for power and debris mitigation. Spatial and temporal distributions of the radiation delivered to the illuminator of the scanner are important parameters of the production EUV tool, this paper reports on these parameters measured at the nominal repetition rate of the EUV source. The lifetime of the collector mirror is a critical parameter in the development of extreme ultra-violet LPP lithography sources. Deposition of target material and contaminants as well as sputtering and implantation of incident particles can reduce the reflectivity of the mirror coating substantially over time during exposure even though debris mitigation schemes are being employed. We report on progress of life-test experiments of exposed 1.6sr collectors using a Sn LPP EUV light source. The erosion of MLM coating is caused mostly by the high-energy ions generated from the plasma. In this manuscript the ion distribution measured at small (14 degree) and medium (45 degree) angles to the laser beam are presented. The measurements show that the chosen combination of the CO2 laser and Sn droplet targets is characterized by fairly uniform angular ion energy distribution. The maximum ion energy generated from the plasma is in the range of 3-3.5 keV for all incident angles of the collector. The measured maximum energy of the ions is significantly less than that measured and simulated for plasmas generated by short wavelength lasers (1 μm). The separation of ions with different charge states was observed when a retarding potential was applied to the Faraday Cup detector.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

EUV source collector

N. Böwering; Alex I. Ershov; William F. Marx; Oleh V. Khodykin; Bjorn A. M. Hansson; L Ernesto Vargas; Juan A. Chavez; Igor V. Fomenkov; David W. Myers; David C. Brandt

A collector subsystem has been designed, built, and tested. The subsystem consists of a 320mm diameter ellipsoidal collector coated with a graded multilayer, mounting mechanics, thermal management capability, and a collector protection system. The EUV light emission can be collected with a solid angle of 1.6 sr. Collector substrates have been developed with the goal of offering both optical surface quality to support high multilayer mirror (MLM) reflectivity and material compatibility for long-term operation in the EUV source system. An interface-engineered MLM coating capable of maintaining high normal-incidence peak reflectivity at 13.5 nm during continuous operation at 400 °C has been developed. The thermal management of the system has been engineered and tested to maintain uniform substrate temperature during operation. Lastly, protection techniques have been developed to provide the collector with a long operational lifetime. Performance data for the entire subsystem are presented. The collector was installed in the source chamber of a laser-produced-plasma EUV source during system integration experiments using a tin droplet target. First results of the collected EUV output at the intermediate focus measured with a power meter and a fluorescence-converter-based imaging system are discussed.


Proceedings of SPIE | 2015

Performance overview and outlook of EUV lithography systems

Alberto Pirati; Rudy Peeters; Daniel Smith; Sjoerd Lok; Arthur Winfried Eduardus Minnaert; Martijn van Noordenburg; Jörg Mallmann; Noreen Harned; Judon Stoeldraijer; Christian Wagner; Carmen Zoldesi; Eelco van Setten; Jo Finders; Chris de Ruijter; Milos Popadic; Roger Huang; Martin Lin; Frank Chuang; Roderik van Es; Marcel Beckers; David C. Brandt; Nigel R. Farrar; Alex Schafgans; Daniel B. Brown; Herman Boom; Hans Meiling; Ron Kool

Multiple NXE:3300 are operational at customer sites. These systems, equipped with a Numerical Aperture (NA) of 0.33, are being used by semiconductor manufacturers to support device development. Full Wafer Critical Dimension Uniformity (CDU) of 1.0 nm for 16nm dense lines and 1.1 nm for 20nm isolated space and stable matched overlay performance with ArF immersion scanner of less than 4nm provide the required lithographic performance for these device development activities. Steady progresses in source power have been achieved in the last 12 months, with 100Watts (W) EUV power capability demonstrated on multiple machines. Power levels up to 90W have been achieved on a customer machine, while 110W capability has been demonstrated in the ASML factory. Most NXE:3300 installed at customers have demonstrated the capability to expose 500 wafers per day, and one field system upgraded to the 80W configuration has proven capable of exposing 1,000 wafers per day. Scanner defectivity keeps being reduced by a 10x factor each year, while the first exposures obtained with full size EUV pellicles show no appreciable difference in CDU when compared to exposures done without pellicle. The 4th generation EUV system, the NXE: 3350, is being qualified in the ASML factory.


Proceedings of SPIE | 2015

Performance optimization of MOPA pre-pulse LPP light source

Alexander Schafgans; Daniel J. W. Brown; Igor V. Fomenkov; Rick Sandstrom; Alex I. Ershov; G. Vaschenko; Rob Rafac; Michael B. Purvis; Slava Rokitski; Yezheng Tao; Daniel J. Riggs; Wayne J. Dunstan; Matthew J. Graham; Nigel R. Farrar; David C. Brandt; N. Böwering; Alberto Pirati; Noreen Harned; Christian Wagner; Hans Meiling; Ron Kool

This paper describes the development and evolution of the critical architecture for a laser-produced-plasma (LPP) extreme-ultraviolet (EUV) source for advanced lithography applications in high volume manufacturing (HVM). In this paper we discuss the most recent results from high power sources in the field and testing on our laboratory based development systems, and describe the requirements and technical challenges related to successful implementation of those technologies on production sources. System performance is shown, focusing on pre-pulse operation with high conversion efficiency (CE) and with dose control to ensure high die yield. Finally, experimental results evaluating technologies for generating stable EUV power output for a high volume manufacturing (HVM) LPP source will be reviewed.


Proceedings of SPIE | 2012

Laser produced plasma EUV sources for device development and HVM

David C. Brandt; Igor V. Fomenkov; Michael J. Lercel; Bruno La Fontaine; David W. Myers; Daniel J. W. Brown; Alex I. Ershov; Richard L. Sandstrom; Alexander N. Bykanov; G. Vaschenko; N. Böwering; Palash P. Das; Vladimir B. Fleurov; Kevin Zhang; Shailendra N. Srivastava; Imtiaz Ahmad; Chirag Rajyaguru; Silvia De Dea; Wayne J. Dunstan; Peter M. Baumgart; Toshi Ishihara; Rod D. Simmons; Robert N. Jacques; Robert A. Bergstedt; Peter I. Porshnev; Christian J. Wittak; Michael R. Woolston; Robert J. Rafac; Jonathan Grava; Alexander Schafgans

Laser produced plasma (LPP) systems have been developed as the primary approach for the EUV scanner light source for optical imaging of circuit features at sub-22nm and beyond nodes on the ITRS roadmap. This paper provides a review of development progress and productization status for LPP extreme-ultra-violet (EUV) sources with performance goals targeted to meet specific requirements from leading scanner manufacturers. We present the latest results on exposure power generation, collection, and clean transmission of EUV through the intermediate focus. Semiconductor industry standards for reliability and source availability data are provided. We report on measurements taken using a 5sr normal incidence collector on a production system. The lifetime of the collector mirror is a critical parameter in the development of extreme ultra-violet LPP lithography sources. Deposition of target material as well as sputtering or implantation of incident particles can reduce the reflectivity of the mirror coating during exposure. Debris mitigation techniques are used to inhibit damage from occuring, the protection results of these techniques will be shown over multi-100s of hours.


Journal of Micro-nanolithography Mems and Moems | 2009

Performance results of laser-produced plasma test and prototype light sources for EUV lithography

N. Böwering; Igor V. Fomenkov; David C. Brandt; Alexander N. Bykanov; Alexander I. Ershov; William N. Partlo; Dave Myers; Nigel R. Farrar; G. Vaschenko; Oleh V. Khodykin; Jerzy R. Hoffman; Christopher P. Chrobak; Shailendra N. Srivastava; Imtiaz Ahmad; Chirag Rajyaguru; Daniel J. Golich; David A. Vidusek; Silvia De Dea; Richard R. Hou

Improved performance and specific results are reported for several test and prototype extreme ultraviolet EUV light sources devel- oped for next-generation lithography. High repetition rate and high-power CO2 laser-produced plasma sources operating on tin droplet targets are described. Details of laser architecture, source chambers and system operation are given. Stable output power, efficient light collection, and clean EUV transmission could be achieved for hours of operation. We review progress during integration of light sources with collector mirrors reaching EUV power levels at intermediate focus of 60 W and 45 W, respectively, with duty cycles of 25% and 40%. Far-field EUV images of the collected light were recorded to monitor the source output perfor- mance during extended tests of collector longevity and debris protection with system operation time exceeding 50 h. Development results on EUV spectra, out-of-band OOB radiation, and ion debris obtained with dedicated metrology setups are also described. Angle-resolved mea- surements with ion energy analyzer and Faraday cups reveal the contri- butions of individual ion charge states in related spectra. Our laser- produced EUV light source technology has now reached a level of maturity in full integration where prototype sources can be delivered and pilot line introduction can be prepared.


Proceedings of SPIE | 2007

Laser-produced plasma source system development

David C. Brandt; Igor V. Fomenkov; Alex I. Ershov; William N. Partlo; David W. Myers; N. Böwering; G. Vaschenko; Oleh V. Khodykin; Alexander N. Bykanov; Jerzy R. Hoffman; Christopher P. Chrobak; Shailendra N. Srivastava; David A. Vidusek; Silvia De Dea; Richard R. Hou

This paper provides a review of development progress for a laser-produced-plasma (LPP) extreme-ultra-violet (EUV) source with performance goals targeted to meet joint requirements from all leading scanner manufacturers. Laser produced plasma systems have been developed as a viable approach for the EUV scanner light source for optical imaging of circuit features at sub-32nm and beyond nodes on the ITRS roadmap. Recent advances in the development of the system, its present average output power level and progress with various subcomponents is discussed. We present the latest results on peak EUV and average EUV power as well as stability of EUV output, measured in burst-mode operation at the nominal repetition rate of the light source. In addition, our progress in developing of critical components, such as normal-incidence EUV collector and liquid-target delivery system is described. We also report on dose stability, plasma position stability and EUV distribution at the output region of the source. This presentation reviews the experimental results obtained on systems with a focus on the topics most critical for an HVM source. The capability to scale LPP power by further development of the high power CO2 drive laser in order to increase duty cycle and duration of continuous light source operation is shown. Production systems with thermal management and capable of 5 sr light collection are being assembled and tested. A description of the development of a normal-incidence ellipsoidal collector is included. Improvements in substrate quality lead to increased EUV reflectance of the mirror. Results on the generation of liquid tin droplets as target material for efficient plasma generation are also described. The droplet generator serves as a key element in the precise and spatially stable delivery of small quantities of liquid tin at high repetition rates. We describe a protection module at the intermediate focus (IF) region of the source and imaging of the EUV distribution using a sub-aperture collector and a fluorescent screen. A path to meet requirements for production scanners planned well into the next decade is also presented.

Researchain Logo
Decentralizing Knowledge