Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Oleh V. Khodykin is active.

Publication


Featured researches published by Oleh V. Khodykin.


Proceedings of SPIE | 2006

LPP EUV source development for HVM

David C. Brandt; Igor V. Fomenkov; Alex I. Ershov; William N. Partlo; David W. Myers; N. Böwering; Alexander N. Bykanov; G. Vaschenko; Oleh V. Khodykin; Jerzy R. Hoffman; L Ernesto Vargas; Rodney D. Simmons; Juan A. Chavez; Christopher P. Chrobak

This paper provides a detailed review of development progress for a laser-produced-plasma (LPP) extreme-ultra-violet (EUV) source with performance goals targeted to meet joint requirements from all leading scanner manufacturers. We present the latest results on drive laser power and efficiency, source fuel, conversion efficiency, debris mitigation techniques, multi-layer-mirror coatings, collector efficiency, mass-limited droplet generation, laser-to-droplet targeting control, and system use and experience. The results from full-scale prototype systems are presented. In addition, several smaller lab-scale experimental systems have also been constructed to test specific physical aspects of the light sources. This report reviews the latest experimental results obtained on these systems with a focus on the topics most critical for a source intended for use in high volume manufacturing (HVM). LPP systems have been developed for light-sources applications to enable EUV scanners for optical imaging of circuit features at nodes of 32 nm and below on the international technology roadmap for semiconductors (ITRS). LPP systems have inherent advantages over alternate source types, such as discharge produced plasmas (DPP), with respect to power scalability, source etendue, collector efficiency, and component lifetime. The capability to scale EUV power with laser repetition rate and pulse energy is shown, as well as the modular architecture for extendability. In addition, experimental results of debris mitigation techniques and witness sample lifetime testing of coated multi-layer-mirrors (MLM) are described and used to support the useful lifetime estimation of a normal incidence collector. A roadmap to meet requirements for production scanners planned well into the next decade is also presented.


Journal of Physics D | 2004

EUV discharge light source based on a dense plasma focus operated with positive and negative polarity

Igor V. Fomenkov; N. Böwering; Curtis L. Rettig; Stephan T. Melnychuk; Ian Roger Oliver; Jerzy R. Hoffman; Oleh V. Khodykin; Richard M. Ness; William N. Partlo

The application of a dense plasma focus pinch discharge as a light source for extreme ultraviolet (EUV) lithography is discussed. For operation with xenon gas, the radiation emitted at around 13.5 nm is analysed with temporal, spectral or spatial resolution. We describe and compare the operating characteristics and plasma dynamics of the device when energized at positive and negative polarity of the charging voltage. The thermal load distribution, heat deposition and wear of the electrodes are measured and compared for both configurations. High-repetition rate burst mode data show characteristic transients. Source size data are also obtained when tin powder is used as the target element. More favourable performance characteristics were generally obtained for operation of the pinch discharge at negative polarity. (Some figures in this article are in colour only in the electronic version)


Emerging Lithographic Technologies VIII | 2003

Performance and Scaling of a Dense Plasma Focus Light Source for EUV Lithography.

Igor V. Fomenkov; Richard M. Ness; Ian Roger Oliver; Stephan T. Melnychuk; Oleh V. Khodykin; N. Böwering; Curtis L. Rettig; Jerzy R. Hoffman

A commercially viable light source for EUV lithography has to meet the large set of requirements of a High Volume Manufacturing (HVM) lithography tool. High optical output power, high-repetition rate, long component lifetime, good source stability, and low debris generation are among the most important parameters. The EUV source, being developed at Cymer, Inc. is a discharge produced plasma source in a dense plasma focus (DPF) configuration. Promising results with Xe as a working gas were demonstrated earlier. To scale the DPF parameters to levels required for HVM our efforts are concentrated on the following areas: (1) thermal engineering of the electrodes utilizing direct water cooling techniques; (2) development of improved pulsed power systems for > 4 kHz operation; (3) study of erosion mechanisms for plasma facing components; (4) development of efficient debris mitigation techniques and debris shields; (5) studies of plasma generation and evolution with emphasis on improving conversion efficiency and source stability; (6) development of EUV metrology techniques and instrumentation for measurements of source size; and (7) development of an optimized collector optic matched to our source parameters. In this paper, we will present results from each of these key areas. The total in-band EUV output energy now approaches 60 mJ/pulse into 2πsr and the conversion efficiency has been increased to near 0.5 %. Routine operation at 4 kHz in burst-mode, and continuous operation at 1 kHz has been demonstrated. Improved at-wavelength source metrology now allows a determination of EUV source size utilizing imaging, and monitoring of key features of the spectrum on a pulse-to-pulse basis. With effective suppression of debris generated from the anode by several orders of magnitude, UV/EUV-catalyzed carbon growth now presents the limit in producing a clean source.


Proceedings of SPIE | 2009

LPP source system development for HVM

David C. Brandt; Igor V. Fomenkov; Alex I. Ershov; William N. Partlo; David W. Myers; N. Böwering; Nigel R. Farrar; G. Vaschenko; Oleh V. Khodykin; Alexander N. Bykanov; Jerzy R. Hoffman; Christopher P. Chrobak; Shailendra N. Srivastava; Imtiaz Ahmad; Chirag Rajyaguru; Daniel J. Golich; David A. Vidusek; Silvia De Dea; Richard R. Hou

Laser produced plasma (LPP) systems have been developed as a viable approach for the EUV scanner light sources to support optical imaging of circuit features at sub-22nm nodes on the ITRS roadmap. This paper provides a review of development progress and productization status for LPP extreme-ultra-violet (EUV) sources with performance goals targeted to meet specific requirements from leading scanner manufacturers. The status of first generation High Volume Manufacturing (HVM) sources in production and at a leading semiconductor device manufacturer is discussed. The EUV power at intermediate focus is discussed and the lastest data are presented. An electricity consumption model is described, and our current product roadmap is shown.


Proceedings of SPIE | 2009

Laser produced plasma light source for EUVL

Igor V. Fomenkov; David C. Brandt; Alexander N. Bykanov; Alex I. Ershov; William N. Partlo; David W. Myers; N. Böwering; Nigel R. Farrar; G. Vaschenko; Oleh V. Khodykin; Jerzy R. Hoffman; Christopher P. Chrobak; Shailendra N. Srivastava; Daniel J. Golich; David A. Vidusek; Silvia De Dea; Richard R. Hou

This paper is devoted to the development of laser produced plasma (LPP) EUV source architecture for advanced lithography applications in high volume manufacturing of integrated circuits. The paper describes the development status of subsystems most critical to the performance to meet scanner manufacturer requirements for power and debris mitigation. Spatial and temporal distributions of the radiation delivered to the illuminator of the scanner are important parameters of the production EUV tool, this paper reports on these parameters measured at the nominal repetition rate of the EUV source. The lifetime of the collector mirror is a critical parameter in the development of extreme ultra-violet LPP lithography sources. Deposition of target material and contaminants as well as sputtering and implantation of incident particles can reduce the reflectivity of the mirror coating substantially over time during exposure even though debris mitigation schemes are being employed. We report on progress of life-test experiments of exposed 1.6sr collectors using a Sn LPP EUV light source. The erosion of MLM coating is caused mostly by the high-energy ions generated from the plasma. In this manuscript the ion distribution measured at small (14 degree) and medium (45 degree) angles to the laser beam are presented. The measurements show that the chosen combination of the CO2 laser and Sn droplet targets is characterized by fairly uniform angular ion energy distribution. The maximum ion energy generated from the plasma is in the range of 3-3.5 keV for all incident angles of the collector. The measured maximum energy of the ions is significantly less than that measured and simulated for plasmas generated by short wavelength lasers (1 μm). The separation of ions with different charge states was observed when a retarding potential was applied to the Faraday Cup detector.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

EUV source collector

N. Böwering; Alex I. Ershov; William F. Marx; Oleh V. Khodykin; Bjorn A. M. Hansson; L Ernesto Vargas; Juan A. Chavez; Igor V. Fomenkov; David W. Myers; David C. Brandt

A collector subsystem has been designed, built, and tested. The subsystem consists of a 320mm diameter ellipsoidal collector coated with a graded multilayer, mounting mechanics, thermal management capability, and a collector protection system. The EUV light emission can be collected with a solid angle of 1.6 sr. Collector substrates have been developed with the goal of offering both optical surface quality to support high multilayer mirror (MLM) reflectivity and material compatibility for long-term operation in the EUV source system. An interface-engineered MLM coating capable of maintaining high normal-incidence peak reflectivity at 13.5 nm during continuous operation at 400 °C has been developed. The thermal management of the system has been engineered and tested to maintain uniform substrate temperature during operation. Lastly, protection techniques have been developed to provide the collector with a long operational lifetime. Performance data for the entire subsystem are presented. The collector was installed in the source chamber of a laser-produced-plasma EUV source during system integration experiments using a tin droplet target. First results of the collected EUV output at the intermediate focus measured with a power meter and a fluorescence-converter-based imaging system are discussed.


Journal of Micro-nanolithography Mems and Moems | 2009

Performance results of laser-produced plasma test and prototype light sources for EUV lithography

N. Böwering; Igor V. Fomenkov; David C. Brandt; Alexander N. Bykanov; Alexander I. Ershov; William N. Partlo; Dave Myers; Nigel R. Farrar; G. Vaschenko; Oleh V. Khodykin; Jerzy R. Hoffman; Christopher P. Chrobak; Shailendra N. Srivastava; Imtiaz Ahmad; Chirag Rajyaguru; Daniel J. Golich; David A. Vidusek; Silvia De Dea; Richard R. Hou

Improved performance and specific results are reported for several test and prototype extreme ultraviolet EUV light sources devel- oped for next-generation lithography. High repetition rate and high-power CO2 laser-produced plasma sources operating on tin droplet targets are described. Details of laser architecture, source chambers and system operation are given. Stable output power, efficient light collection, and clean EUV transmission could be achieved for hours of operation. We review progress during integration of light sources with collector mirrors reaching EUV power levels at intermediate focus of 60 W and 45 W, respectively, with duty cycles of 25% and 40%. Far-field EUV images of the collected light were recorded to monitor the source output perfor- mance during extended tests of collector longevity and debris protection with system operation time exceeding 50 h. Development results on EUV spectra, out-of-band OOB radiation, and ion debris obtained with dedicated metrology setups are also described. Angle-resolved mea- surements with ion energy analyzer and Faraday cups reveal the contri- butions of individual ion charge states in related spectra. Our laser- produced EUV light source technology has now reached a level of maturity in full integration where prototype sources can be delivered and pilot line introduction can be prepared.


Proceedings of SPIE | 2007

Laser-produced plasma source system development

David C. Brandt; Igor V. Fomenkov; Alex I. Ershov; William N. Partlo; David W. Myers; N. Böwering; G. Vaschenko; Oleh V. Khodykin; Alexander N. Bykanov; Jerzy R. Hoffman; Christopher P. Chrobak; Shailendra N. Srivastava; David A. Vidusek; Silvia De Dea; Richard R. Hou

This paper provides a review of development progress for a laser-produced-plasma (LPP) extreme-ultra-violet (EUV) source with performance goals targeted to meet joint requirements from all leading scanner manufacturers. Laser produced plasma systems have been developed as a viable approach for the EUV scanner light source for optical imaging of circuit features at sub-32nm and beyond nodes on the ITRS roadmap. Recent advances in the development of the system, its present average output power level and progress with various subcomponents is discussed. We present the latest results on peak EUV and average EUV power as well as stability of EUV output, measured in burst-mode operation at the nominal repetition rate of the light source. In addition, our progress in developing of critical components, such as normal-incidence EUV collector and liquid-target delivery system is described. We also report on dose stability, plasma position stability and EUV distribution at the output region of the source. This presentation reviews the experimental results obtained on systems with a focus on the topics most critical for an HVM source. The capability to scale LPP power by further development of the high power CO2 drive laser in order to increase duty cycle and duration of continuous light source operation is shown. Production systems with thermal management and capable of 5 sr light collection are being assembled and tested. A description of the development of a normal-incidence ellipsoidal collector is included. Improvements in substrate quality lead to increased EUV reflectance of the mirror. Results on the generation of liquid tin droplets as target material for efficient plasma generation are also described. The droplet generator serves as a key element in the precise and spatially stable delivery of small quantities of liquid tin at high repetition rates. We describe a protection module at the intermediate focus (IF) region of the source and imaging of the EUV distribution using a sub-aperture collector and a fluorescent screen. A path to meet requirements for production scanners planned well into the next decade is also presented.


Emerging Lithographic Technologies IX | 2005

LPP EUV conversion efficiency optimization

Jerzy R. Hoffman; Alexander N. Bykanov; Oleh V. Khodykin; Alexander I. Ershov; N. Böwering; Igor V. Fomenkov; William N. Partlo; Dave Myers

Efficient conversion of laser light into EUV radiation is one of the most important problems of the laser-produced plasma (LPP) EUV source. Too low a conversion efficiency (CE) increases the amount of power the drive laser will have to deliver, which, besides the obvious laser cost increase, also increases the thermal load on all the components and can lead to increased debris generation. In order to meet the requirements for a high-volume manufacturing (HVM) tool and at the same time keep the laser power requirements within acceptable limits, a CE exceeding 2.5% is likely to be required. We present our results on optimizing conversion efficiency of LPP EUV generation. The optimization parameters include laser wavelength, target material, and laser pulse shape, energy and intensity. The final choice between parameter sets that leads to the required minimum CE is dependent on the debris mitigation solutions and the laser source available for a particular parameter set.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Optimization of a dense plasma focus device as a light source for EUV lithography

Igor V. Fomenkov; William N. Partlo; Richard M. Ness; Ian Roger Oliver; Stephan T. Melnychuk; Oleh V. Khodykin; Norbert R. Boewering

Since the initial demonstration of EUV emission with Xenon as a source gas in Cymers Dense Plasma Focus (DPF) device, significant effort has been spent exploring the parameter space for optimization of efficient generation of EUV radiation. Parameters included in this investigation are He and Xe pressure and flow rates, electrode geometries, pre-ionization characteristics, and duty factor related performance issues. In these investigations it was found that the location of the He (buffer gas) and Xe (working gas) gas injection ports as well as the pressures and flow rates of the gas mixture components had a strong impact on EUV emission efficiency. Additional constraints on the gas recipe are also derived from gas absorption of the EUV radiation and the desire to provide debris mitigation properties. Best results to date have been obtained with an axially symmetric buffer gas injection scheme coupled with axial Xe injection through the central electrode. The highest conversion efficiency obtained was 0.42 percent at 12.4 J of input energy. Measurements of energy stability show a 10 percent standard deviation at near optimum EUV output. The matching of the drive circuit to the pinch as determined by the damping of the voltage overshoot waveforms was found to depend strongly on the He and Xe pressures. Energy Dispersive X-Ray (EDX) analysis of the debris emitted from the source shows that the primary sources of the debris are the central electrode and the insulator. No evidence of cathode material has been found. In addition to efforts toward more efficient operation, first phase efforts of thermal engineering have been undertaken, which have led to continuous operation at 200 Hertz with conventional direct water cooling. The system can be operated at higher repetition rates with proportionally lower duty cycles. The data will show the distribution of thermal power throughout the whole system. This more detailed understanding of the thermal power flow allows us to better determine the ultimate high volume manufacturing potential of this source technology.

Researchain Logo
Decentralizing Knowledge