Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yann Mignot is active.

Publication


Featured researches published by Yann Mignot.


international interconnect technology conference | 2016

Tungsten and cobalt metallization: A material study for MOL local interconnects

Vimal Kamineni; Mark Raymond; Shariq Siddiqui; S. Tsai; C. Niu; A. Labonte; Cathy Labelle; Susan Su-Chen Fan; Brown Peethala; Praneet Adusumilli; Raghuveer Patlolla; Deepika Priyadarshini; Yann Mignot; A. Carr; S. Pancharatnam; J. Shearer; C. Surisetty; John C. Arnold; Donald F. Canaperi; Balasubramanian S. Haran; H. Jagannathan; F. Chafik; B. L'Herron

Middle-of-the-line (MOL) interconnect and contact resistances represent significant impacts to high-end IC performance at ≤ 10 nm nodes. CVD W-based metallization has been used for all nodes since the inception of damascene. However, it is now being severely challenged due to limited scaling of the traditional PVD Ti/CVD TiN barrier and ALD nucleation layers. This study reports the use of alternate barriers, along with metal-to-metal contact interface cleans, to reduce contact resistance for W-based MOL metallization. As well, we report the first use of Co metal for MOL contacts and local interconnects, with successful integration below a Cu BEOL dual damascene V0/M1 module. Metal line resistances are compared among the various options, and the challenges with each option are highlighted.


Proceedings of SPIE | 2016

EUV patterning successes and frontiers

Nelson Felix; Dan Corliss; Karen Petrillo; Nicole Saulnier; Yongan Xu; Luciana Meli; Hao Tang; Anuja De Silva; Bassem Hamieh; Martin Burkhardt; Yann Mignot; Richard Johnson; Christopher F. Robinson; Mary Breton; Indira Seshadri; Derren Dunn; Stuart A. Sieg; Eric R. Miller; Genevieve Beique; Andre Labonte; Lei Sun; Geng Han; Erik Verduijn; Eunshoo Han; Bong Cheol Kim; Jongsu Kim; Koichi Hontake; Lior Huli; Corey Lemley; Dave Hetzer

The feature scaling and patterning control required for the 7nm node has introduced EUV as a candidate lithography technology for enablement. To be established as a front-up lithography solution for those requirements, all the associated aspects with yielding a technology are also in the process of being demonstrated, such as defectivity process window through patterning transfer and electrical yield. This paper will review the current status of those metrics for 7nm at IBM, but also focus on the challenges therein as the industry begins to look beyond 7nm. To address these challenges, some of the fundamental process aspects of holistic EUV patterning are explored and characterized. This includes detailing the contrast entitlement enabled by EUV, and subsequently characterizing state-of-the-art resist printing limits to realize that entitlement. Because of the small features being considered, the limits of film thinness need to be characterized, both for the resist and underlying SiARC or inorganic hardmask, and the subsequent defectivity, both of the native films and after pattern transfer. Also, as we prepare for the next node, multipatterning techniques will be validated in light of the above, in a way that employs the enabling aspects of EUV as well. This will thus demonstrate EUV not just as a technology that can print small features, but one where all aspects of the patterning are understood and enabling of a manufacturing-worthy technology.


international interconnect technology conference | 2016

BEOL process integration for the 7 nm technology node

Theodorus E. Standaert; Genevieve Beique; H.-C. Chen; Shyng-Tsong Chen; B. Hamieh; Joe Lee; Paul S. McLaughlin; J. McMahon; Yann Mignot; Koichi Motoyama; Son Van Nguyen; Raghuveer Patlolla; Brown Peethala; Deepika Priyadarshini; M. Rizzolo; Nicole Saulnier; Hosadurga Shobha; S. Siddiqui; Terry A. Spooner; H. Tang; O. van der Straten; E. Verduijn; Yongan Xu; Xunyuan Zhang; John C. Arnold; Donald F. Canaperi; Matthew E. Colburn; Daniel C. Edelstein; Vamsi Paruchuri; Griselda Bonilla

A 36 nm pitch BEOL has been evaluated for the 7 nm technology node. EUV lithography was employed as a single-exposure patterning solution. For the first time, it is shown that excellent reliability results can be obtained for Cu interconnects at these small dimensions, by using a TaN/Ru barrier system and a selective Co cap.


Extreme Ultraviolet (EUV) Lithography IX | 2018

Defect detection strategies and process partitioning for SE EUV patterning (Conference Presentation)

Luciana Meli; Karen Petrillo; Anuja De Silva; John C. Arnold; Nelson Felix; Christopher F. Robinson; Benjamin D. Briggs; Shravan Matham; Yann Mignot; Jeffrey Shearer; Bassem Hamieh; Koichi Hontake; Lior Huli; Corey Lemley; Dave Hetzer; Eric Liu; Ko Akiteru; Shinichiro Kawakami; Takeshi Shimoaoki; Yusaku Hashimoto; Hiroshi Ichinomiya; Akiko Kai; Koichiro Tanaka; Ankit Jain; Heungsoo Choi; Barry Saville; Chet Lenox

The key challenge for enablement of a 2nd node of single-expose EUV patterning is understanding and mitigating the patterning-related defects that narrow the process window. Typical in-line inspection techniques, such as broadband plasma (291x) and e-beam systems, find it difficult to detect the main yield-detracting defects post-develop, and thus understanding the effects of process improvement strategies has become more challenging. New techniques and methodologies for detection of EUV lithography defects, along with judicious process partitioning, are required to develop process solutions that improve yield. This paper will first discuss alternative techniques and methodologies for detection of lithography-related defects, such as scumming and microbridging. These strategies will then be used to gain a better understanding of the effects of material property changes, process partitioning, and hardware improvements, ultimately correlating them directly with electrical yield detractors .


international interconnect technology conference | 2017

Microstructure modulation for resistance reduction in copper interconnects

Chih-Chao Yang; Terry A. Spooner; Paul S. McLaughlin; C.-K. Hu; H. Huang; Yann Mignot; M. Ali; G. Lian; Roger A. Quon; Theodorus E. Standaert; Daniel C. Edelstein

Microstructure variation with post-patterning dielectric aspect ratio (AR) and post-plating annealing temperature has been investigated in Cu narrow wires. As compared to the conventional annealing at 100 ◦C for a feature AR of 2.6, both elevated temperature anneals and reduced AR structures modulated Cu microstructure, which then resulted in a reduced rate of electrical resistivity increase with area scaling and an increased electromigration resistance in the Cu narrow wires.


Extreme Ultraviolet (EUV) Lithography IX | 2018

Inorganic hardmask development for EUV patterning

Anuja De Silva; Ashim Dutta; Luciana Meli; Yiping Yao; Yann Mignot; Jing Guo; Nelson Felix

Extreme ultra violet (EUV) patterning offers an opportunity to explore new hardmask materials and patterning approaches. Traditional patterning stacks for Deep UV (DUV) patterning have been based on optimizing multi-layer schemes for reflectivity control and pattern transfer. At EUV wavelength, the patterning challenges are dominated by stochastics and aspect ratio control. This offers an opportunity to think differently about underlayer design for sub-36nm pitch patterning. The choice of hardmask can be used to modulate post-litho defectivity to mitigate the stochastics effects and enable more efficient pattern transfer. Through different case studies this paper will explore a range of silicon-based inorganic hardmasks for sub36nm EUV patterning. How film properties dominate patterning performance will be studied systematically. The relative merits of patterning a chemically amplified organic resist directly on an inorganic hardmask or having different types of organic adhesion promoters as an intermediate layer will be also be presented.


Advances in Patterning Materials and Processes XXXV | 2018

Polymer brush as adhesion promoter for EUV patterning

Jing Guo; Anuja De Silva; Yann Mignot; Yongan Xu; Abraham A. de la Peña; Luciana Meli; Indira Seshadri; Dominik Metzler; Lovejeet Singh; Tsuyoshi Furukawa; Ramakrishnan Ayothi; Nelson Felix; Dan Corliss

Current EUV lithography pushes photoresist thickness reduction to sub-30 nm in order to meet resolution targets and mitigate pattern collapse. In order to maintain the etch budgets in hard mask open, the adhesion layer in between resist and hard mask has to scale accordingly. We have reported a grafted polymer brush adhesion layer used in an ultrathin EUV patterning stack and demonstrated sub-36 nm pitch features with significant improvement over existing adhesion promotion techniques [1]. This paper provides further understanding of this class of materials from a fundamental point of view. We first propose a hypothesis of the adhesion mechanism, and probe key factors that could affect adhesion performance. The grafting kinetics study of polymer brush that contains different functional groups to the substrate shows grafting chemistry, time, and temperature are key factors that affect the printing performance. We then conduct a systematic study to understand printing capability at various pitches for different silicon-based substrates. By comparing the process window, we gain comprehensive understanding of the printing limits and failing modes with this approach. We provide a comparative study of a grafted adhesion layer vs. a conventional spin on BARC type material, including defectivity. Pattern transfer to hard mask with varied etch chemistry is conducted to understand the performance of polymer brush during etch.


Proceedings of SPIE | 2017

Electrical study of DSA shrink process and CD rectification effect at sub-60nm using EUV test vehicle

Cheng Chi; Chi-Chun Liu; Luciana Meli; Jing Guo; Doni Parnell; Yann Mignot; Kristin Schmidt; Martha I. Sanchez; Richard Farrell; Lovejeet Singh; Tsuyoshi Furukawa; Kafai Lai; Yongan Xu; Daniel P. Sanders; David Hetzer; Andrew Metz; Sean D. Burns; Nelson Felix; John C. Arnold; Daniel Corliss

In this study, the integrity and the benefits of the DSA shrink process were verified through a via-chain test structure, which was fabricated by either DSA or baseline litho/etch process for via layer formation while metal layer processes remain the same. The nearest distance between the vias in this test structure is below 60nm, therefore, the following process components were included: 1) lamella-forming BCP for forming self-aligned via (SAV), 2) EUV printed guiding pattern, and 3) PS-philic sidewall. The local CDU (LCDU) of minor axis was improved by 30% after DSA shrink process. We compared two DSA Via shrink processes and a DSA_Control process, in which guiding patterns (GP) were directly transferred to the bottom OPL without DSA shrink. The DSA_Control apparently resulted in larger CD, thus, showed much higher open current and shorted the dense via chains. The non-optimized DSA shrink process showed much broader current distribution than the improved DSA shrink process, which we attributed to distortion and dislocation of the vias and ineffective SAV. Furthermore, preliminary defectivity study of our latest DSA process showed that the primary defect mode is likely to be etch-related. The challenges, strategies applied to improve local CD uniformity and electrical current distribution, and potential adjustments were also discussed.


Proceedings of SPIE | 2016

Lithographic Qualification of High Transmission Mask Blank for 10nm Node and Beyond

Yongan Xu; Tom Faure; Ramya Viswanathan; Granger Lobb; Richard Wistrom; Sean D. Burns; Lin Hu; Ioana Graur; Ben Bleiman; Dan Fischer; Yann Mignot; Yoshifumi Sakamoto; Yusuke Toda; John Bolton; Todd Bailey; Nelson Felix; John C. Arnold; Matthew E. Colburn

In this paper, we discuss the lithographic qualification of high transmission (High T) mask for Via and contact hole applications in 10nm node and beyond. First, the simulated MEEF and depth of focus (DoF) data are compared between the 6% and High T attnPSM masks with the transmission of High T mask blank varying from 12% to 20%. The 12% High T blank shows significantly better MEEF and larger DoF than those of 6% attnPSM mask blank, which are consistent with our wafer data. However, the simulations show no obvious advantage in MEEF and DoF when the blank transmittance is larger than 12%. From our wafer data, it has been seen that the common process window from High T mask is 40nm bigger than that from the 6% attnPSM mask. In the elongated bar structure with smaller aspect ratio, 1.26, the 12% High T mask shows significantly less develop CD pull back in the major direction. Compared to the High T mask, the optimized new illumination condition for 6% attnPSM shows limited improvement in MEEF and the DoF through pitch. In addition, by using the High T mask blank, we have also investigated the SRAF printing, side lobe printing and the resist profile through cross sections, and no patterning risk has been found for manufacturing. As part of this work new 12% High T mask blank materials and processes were developed, and a brief overview of key mask technology development results have been shared. Overall, it is concluded that the High T mask, 12% transmission, provides the most robust and extendable lithographic solution for 10nm node and beyond.


Archive | 2013

ADVANCED INTERCONNECT WITH AIR GAP

John H. Zhang; Yann Mignot; Lawrence A. Clevenger; Carl J. Radens; Richard S. Wise; Yiheng Xu; Yannick Loquet; Hsueh-Chung Chen

Researchain Logo
Decentralizing Knowledge